1 |
2 |
marcelos |
/*
|
2 |
|
|
* Copyright (c) 2018, Marcelo Samsoniuk
|
3 |
|
|
* All rights reserved.
|
4 |
|
|
*
|
5 |
|
|
* Redistribution and use in source and binary forms, with or without
|
6 |
|
|
* modification, are permitted provided that the following conditions are met:
|
7 |
|
|
*
|
8 |
|
|
* * Redistributions of source code must retain the above copyright notice, this
|
9 |
|
|
* list of conditions and the following disclaimer.
|
10 |
|
|
*
|
11 |
|
|
* * Redistributions in binary form must reproduce the above copyright notice,
|
12 |
|
|
* this list of conditions and the following disclaimer in the documentation
|
13 |
|
|
* and/or other materials provided with the distribution.
|
14 |
|
|
*
|
15 |
|
|
* * Neither the name of the copyright holder nor the names of its
|
16 |
|
|
* contributors may be used to endorse or promote products derived from
|
17 |
|
|
* this software without specific prior written permission.
|
18 |
|
|
*
|
19 |
|
|
* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
|
20 |
|
|
* AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
|
21 |
|
|
* IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
|
22 |
|
|
* DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
|
23 |
|
|
* FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
|
24 |
|
|
* DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
|
25 |
|
|
* SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
|
26 |
|
|
* CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
|
27 |
|
|
* OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
|
28 |
|
|
* OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
29 |
|
|
*/
|
30 |
|
|
|
31 |
|
|
`timescale 1ns / 1ps
|
32 |
|
|
`include "../rtl/config.vh"
|
33 |
|
|
|
34 |
|
|
// the following defines are automatically defined:
|
35 |
|
|
/*
|
36 |
|
|
`ifdef __ICARUS__
|
37 |
|
|
`define SIMULATION 1
|
38 |
|
|
`endif
|
39 |
|
|
|
40 |
|
|
`ifdef XILINX_ISIM
|
41 |
|
|
`define SIMULATION 2
|
42 |
|
|
`endif
|
43 |
|
|
|
44 |
|
|
`ifdef MODEL_TECH
|
45 |
|
|
`define SIMULATION 3
|
46 |
|
|
`endif
|
47 |
|
|
|
48 |
|
|
`ifdef XILINX_SIMULATOR
|
49 |
|
|
`define SIMULATION 4
|
50 |
|
|
`endif
|
51 |
|
|
*/
|
52 |
|
|
// uart states
|
53 |
|
|
|
54 |
|
|
`define UART_STATE_IDLE 6
|
55 |
|
|
`define UART_STATE_START 7
|
56 |
|
|
`define UART_STATE_DATA0 8
|
57 |
|
|
`define UART_STATE_DATA1 9
|
58 |
|
|
`define UART_STATE_DATA2 10
|
59 |
|
|
`define UART_STATE_DATA3 11
|
60 |
|
|
`define UART_STATE_DATA4 12
|
61 |
|
|
`define UART_STATE_DATA5 13
|
62 |
|
|
`define UART_STATE_DATA6 14
|
63 |
|
|
`define UART_STATE_DATA7 15
|
64 |
|
|
`define UART_STATE_STOP 0
|
65 |
|
|
`define UART_STATE_ACK 1
|
66 |
|
|
|
67 |
|
|
// UART registers
|
68 |
|
|
//
|
69 |
|
|
// 0: status register ro, 1 = xmit busy, 2 = recv busy
|
70 |
|
|
// 1: buffer register rw, w = xmit fifo, r = recv fifo
|
71 |
|
|
// 2: baud rate msb rw (not used)
|
72 |
|
|
// 3: baud rate lsb rw (not used)
|
73 |
|
|
|
74 |
|
|
module darkuart
|
75 |
|
|
//#(
|
76 |
|
|
// parameter [15:0] BAUD = 0
|
77 |
|
|
//)
|
78 |
|
|
(
|
79 |
|
|
input CLK, // clock
|
80 |
|
|
input RES, // reset
|
81 |
|
|
|
82 |
|
|
input RD, // bus read
|
83 |
|
|
input WR, // bus write
|
84 |
|
|
input [ 3:0] BE, // byte enable
|
85 |
|
|
input [31:0] DATAI, // data input
|
86 |
|
|
output [31:0] DATAO, // data output
|
87 |
|
|
output IRQ, // interrupt req
|
88 |
|
|
|
89 |
|
|
input RXD, // UART recv line
|
90 |
|
|
output TXD, // UART xmit line
|
91 |
|
|
|
92 |
|
|
output [3:0] DEBUG // osc debug
|
93 |
|
|
);
|
94 |
|
|
|
95 |
|
|
reg [15:0] UART_TIMER = `__BAUD__; // baud rate from config.vh
|
96 |
|
|
reg UART_IREQ = 0; // UART interrupt req
|
97 |
|
|
reg UART_IACK = 0; // UART interrupt ack
|
98 |
|
|
|
99 |
|
|
reg [ 7:0] UART_XFIFO = 0; // UART TX FIFO
|
100 |
|
|
reg UART_XREQ = 0; // xmit request (core side)
|
101 |
|
|
reg UART_XACK = 0; // xmit ack (uart side)
|
102 |
|
|
reg [15:0] UART_XBAUD = 0; // baud rate counter
|
103 |
|
|
reg [ 3:0] UART_XSTATE= 0; // idle state
|
104 |
|
|
|
105 |
|
|
reg [ 7:0] UART_RFIFO = 0; // UART RX FIFO
|
106 |
|
|
reg UART_RREQ = 0; // request (uart side)
|
107 |
|
|
reg UART_RACK = 0; // ack (core side)
|
108 |
|
|
reg [15:0] UART_RBAUD = 0; // baud rate counter
|
109 |
|
|
reg [ 3:0] UART_RSTATE= 0; // idle state
|
110 |
|
|
|
111 |
|
|
reg [2:0] UART_RXDFF = -1;
|
112 |
|
|
|
113 |
|
|
wire [7:0] UART_STATE = { 6'd0, UART_RREQ^UART_RACK, UART_XREQ^UART_XACK };
|
114 |
|
|
|
115 |
|
|
reg [7:0] UART_STATEFF = 0;
|
116 |
|
|
|
117 |
|
|
// bus interface
|
118 |
|
|
|
119 |
|
|
reg [31:0] DATAOFF = 0;
|
120 |
|
|
|
121 |
|
|
always@(posedge CLK)
|
122 |
|
|
begin
|
123 |
|
|
if(WR)
|
124 |
|
|
begin
|
125 |
|
|
if(BE[1])
|
126 |
|
|
begin
|
127 |
|
|
UART_XFIFO <= DATAI[15:8];
|
128 |
|
|
`ifdef SIMULATION
|
129 |
|
|
// print the UART output to console! :)
|
130 |
|
|
if(DATAI[15:8]!=13) // remove the '\r'
|
131 |
|
|
begin
|
132 |
|
|
$write("%c",DATAI[15:8]);
|
133 |
|
|
end
|
134 |
|
|
|
135 |
|
|
if(DATAI[15:8]=="#") // break point
|
136 |
|
|
begin
|
137 |
|
|
$display("[checkpoint #]");
|
138 |
|
|
$stop();
|
139 |
|
|
end
|
140 |
|
|
|
141 |
|
|
if(DATAI[15:8]==">") // prompt '>'
|
142 |
|
|
begin
|
143 |
|
|
$display(" no UART input, finishing simulation...");
|
144 |
|
|
$finish();
|
145 |
|
|
end
|
146 |
|
|
`else
|
147 |
|
|
UART_XREQ <= !UART_XACK; // activate UART!
|
148 |
|
|
`endif
|
149 |
|
|
end
|
150 |
|
|
//if(BE[2]) UART_TIMER[ 7:0] <= DATAI[23:16];
|
151 |
|
|
//if(BE[3]) UART_TIMER[15:8] <= DATAI[31:24];
|
152 |
|
|
end
|
153 |
|
|
|
154 |
|
|
if(RES)
|
155 |
|
|
begin
|
156 |
|
|
UART_RACK <= UART_RREQ;
|
157 |
|
|
UART_STATEFF <= UART_STATE;
|
158 |
|
|
end
|
159 |
|
|
else
|
160 |
|
|
if(RD)
|
161 |
|
|
begin
|
162 |
|
|
if(BE[1]) UART_RACK <= UART_RREQ; // fifo ready
|
163 |
|
|
if(BE[0]) UART_STATEFF <= UART_STATE; // state update, clear irq
|
164 |
|
|
end
|
165 |
|
|
end
|
166 |
|
|
|
167 |
|
|
assign IRQ = |(UART_STATE^UART_STATEFF);
|
168 |
|
|
|
169 |
|
|
assign DATAO = { UART_TIMER, UART_RFIFO, UART_STATE };
|
170 |
|
|
|
171 |
|
|
// xmit path: 6(IDLE), 7(START), 8, 9, 10, 11, 12, 13, 14, 15, 0(STOP), 1(ACK)
|
172 |
|
|
|
173 |
|
|
always@(posedge CLK)
|
174 |
|
|
begin
|
175 |
|
|
UART_XBAUD <= UART_XSTATE==`UART_STATE_IDLE ? UART_TIMER : // xbaud=timer
|
176 |
|
|
UART_XBAUD ? UART_XBAUD-1 : UART_TIMER; // while() { while(xbaud--); xbaud=timer }
|
177 |
|
|
|
178 |
|
|
UART_XSTATE <= RES||UART_XSTATE==`UART_STATE_ACK ? `UART_STATE_IDLE :
|
179 |
|
|
UART_XSTATE==`UART_STATE_IDLE ? UART_XSTATE+(UART_XREQ^UART_XACK) :
|
180 |
|
|
UART_XSTATE+(UART_XBAUD==0);
|
181 |
|
|
|
182 |
|
|
UART_XACK <= RES||UART_XSTATE==`UART_STATE_ACK ? UART_XREQ : UART_XACK;
|
183 |
|
|
end
|
184 |
|
|
|
185 |
|
|
assign TXD = UART_XSTATE[3] ? UART_XFIFO[UART_XSTATE[2:0]] : UART_XSTATE==`UART_STATE_START ? 0 : 1;
|
186 |
|
|
|
187 |
|
|
// recv path: 6(IDLE), 7(START), 8, 9, 10, 11, 12, 13, 14, 15, 0(STOP), 1(ACK)
|
188 |
|
|
|
189 |
|
|
always@(posedge CLK)
|
190 |
|
|
begin
|
191 |
|
|
UART_RXDFF <= (UART_RXDFF<<1)|RXD;
|
192 |
|
|
|
193 |
|
|
UART_RBAUD <= UART_RSTATE==`UART_STATE_IDLE ? { 1'b0, UART_TIMER[15:1] } : // rbaud=timer/2
|
194 |
|
|
UART_RBAUD ? UART_RBAUD-1 : UART_TIMER; // while() { while(rbaud--); rbaud=timer }
|
195 |
|
|
|
196 |
|
|
|
197 |
|
|
UART_RSTATE <= RES||UART_RSTATE==`UART_STATE_ACK ? `UART_STATE_IDLE :
|
198 |
|
|
UART_RSTATE==`UART_STATE_IDLE ? UART_RSTATE+(UART_RXDFF[2:1]==2'b10) : // start bit detection
|
199 |
|
|
UART_RSTATE+(UART_RBAUD==0);
|
200 |
|
|
|
201 |
|
|
UART_RREQ <= UART_RSTATE==`UART_STATE_ACK ? !UART_RACK : UART_RREQ;
|
202 |
|
|
|
203 |
|
|
if(UART_RSTATE[3])
|
204 |
|
|
begin
|
205 |
|
|
UART_RFIFO[UART_RSTATE[2:0]] <= UART_RXDFF[2];
|
206 |
|
|
end
|
207 |
|
|
end
|
208 |
|
|
|
209 |
|
|
//debug
|
210 |
|
|
|
211 |
|
|
assign DEBUG = { RXD, TXD, UART_XSTATE!=`UART_STATE_IDLE, UART_RSTATE!=`UART_STATE_IDLE };
|
212 |
|
|
|
213 |
|
|
endmodule
|