1 |
32 |
redbear |
// ulight_fifo_hps_0.v
|
2 |
|
|
|
3 |
|
|
// This file was auto-generated from altera_hps_hw.tcl. If you edit it your changes
|
4 |
|
|
// will probably be lost.
|
5 |
|
|
//
|
6 |
40 |
redbear |
// Generated using ACDS version 17.1 593
|
7 |
32 |
redbear |
|
8 |
|
|
`timescale 1 ps / 1 ps
|
9 |
|
|
module ulight_fifo_hps_0 #(
|
10 |
|
|
parameter F2S_Width = 0,
|
11 |
|
|
parameter S2F_Width = 1
|
12 |
|
|
) (
|
13 |
|
|
output wire h2f_rst_n, // h2f_reset.reset_n
|
14 |
|
|
input wire h2f_axi_clk, // h2f_axi_clock.clk
|
15 |
|
|
output wire [11:0] h2f_AWID, // h2f_axi_master.awid
|
16 |
|
|
output wire [29:0] h2f_AWADDR, // .awaddr
|
17 |
|
|
output wire [3:0] h2f_AWLEN, // .awlen
|
18 |
|
|
output wire [2:0] h2f_AWSIZE, // .awsize
|
19 |
|
|
output wire [1:0] h2f_AWBURST, // .awburst
|
20 |
|
|
output wire [1:0] h2f_AWLOCK, // .awlock
|
21 |
|
|
output wire [3:0] h2f_AWCACHE, // .awcache
|
22 |
|
|
output wire [2:0] h2f_AWPROT, // .awprot
|
23 |
|
|
output wire h2f_AWVALID, // .awvalid
|
24 |
|
|
input wire h2f_AWREADY, // .awready
|
25 |
|
|
output wire [11:0] h2f_WID, // .wid
|
26 |
|
|
output wire [31:0] h2f_WDATA, // .wdata
|
27 |
|
|
output wire [3:0] h2f_WSTRB, // .wstrb
|
28 |
|
|
output wire h2f_WLAST, // .wlast
|
29 |
|
|
output wire h2f_WVALID, // .wvalid
|
30 |
|
|
input wire h2f_WREADY, // .wready
|
31 |
|
|
input wire [11:0] h2f_BID, // .bid
|
32 |
|
|
input wire [1:0] h2f_BRESP, // .bresp
|
33 |
|
|
input wire h2f_BVALID, // .bvalid
|
34 |
|
|
output wire h2f_BREADY, // .bready
|
35 |
|
|
output wire [11:0] h2f_ARID, // .arid
|
36 |
|
|
output wire [29:0] h2f_ARADDR, // .araddr
|
37 |
|
|
output wire [3:0] h2f_ARLEN, // .arlen
|
38 |
|
|
output wire [2:0] h2f_ARSIZE, // .arsize
|
39 |
|
|
output wire [1:0] h2f_ARBURST, // .arburst
|
40 |
|
|
output wire [1:0] h2f_ARLOCK, // .arlock
|
41 |
|
|
output wire [3:0] h2f_ARCACHE, // .arcache
|
42 |
|
|
output wire [2:0] h2f_ARPROT, // .arprot
|
43 |
|
|
output wire h2f_ARVALID, // .arvalid
|
44 |
|
|
input wire h2f_ARREADY, // .arready
|
45 |
|
|
input wire [11:0] h2f_RID, // .rid
|
46 |
|
|
input wire [31:0] h2f_RDATA, // .rdata
|
47 |
|
|
input wire [1:0] h2f_RRESP, // .rresp
|
48 |
|
|
input wire h2f_RLAST, // .rlast
|
49 |
|
|
input wire h2f_RVALID, // .rvalid
|
50 |
|
|
output wire h2f_RREADY, // .rready
|
51 |
|
|
output wire [12:0] mem_a, // memory.mem_a
|
52 |
|
|
output wire [2:0] mem_ba, // .mem_ba
|
53 |
|
|
output wire mem_ck, // .mem_ck
|
54 |
|
|
output wire mem_ck_n, // .mem_ck_n
|
55 |
|
|
output wire mem_cke, // .mem_cke
|
56 |
|
|
output wire mem_cs_n, // .mem_cs_n
|
57 |
|
|
output wire mem_ras_n, // .mem_ras_n
|
58 |
|
|
output wire mem_cas_n, // .mem_cas_n
|
59 |
|
|
output wire mem_we_n, // .mem_we_n
|
60 |
|
|
output wire mem_reset_n, // .mem_reset_n
|
61 |
|
|
inout wire [7:0] mem_dq, // .mem_dq
|
62 |
|
|
inout wire mem_dqs, // .mem_dqs
|
63 |
|
|
inout wire mem_dqs_n, // .mem_dqs_n
|
64 |
|
|
output wire mem_odt, // .mem_odt
|
65 |
|
|
output wire mem_dm, // .mem_dm
|
66 |
|
|
input wire oct_rzqin // .oct_rzqin
|
67 |
|
|
);
|
68 |
|
|
|
69 |
|
|
generate
|
70 |
|
|
// If any of the display statements (or deliberately broken
|
71 |
|
|
// instantiations) within this generate block triggers then this module
|
72 |
|
|
// has been instantiated this module with a set of parameters different
|
73 |
|
|
// from those it was generated for. This will usually result in a
|
74 |
|
|
// non-functioning system.
|
75 |
|
|
if (F2S_Width != 0)
|
76 |
|
|
begin
|
77 |
|
|
initial begin
|
78 |
|
|
$display("Generated module instantiated with wrong parameters");
|
79 |
|
|
$stop;
|
80 |
|
|
end
|
81 |
|
|
instantiated_with_wrong_parameters_error_see_comment_above
|
82 |
|
|
f2s_width_check ( .error(1'b1) );
|
83 |
|
|
end
|
84 |
|
|
if (S2F_Width != 1)
|
85 |
|
|
begin
|
86 |
|
|
initial begin
|
87 |
|
|
$display("Generated module instantiated with wrong parameters");
|
88 |
|
|
$stop;
|
89 |
|
|
end
|
90 |
|
|
instantiated_with_wrong_parameters_error_see_comment_above
|
91 |
|
|
s2f_width_check ( .error(1'b1) );
|
92 |
|
|
end
|
93 |
|
|
endgenerate
|
94 |
|
|
|
95 |
|
|
ulight_fifo_hps_0_fpga_interfaces fpga_interfaces (
|
96 |
|
|
.h2f_rst_n (h2f_rst_n), // h2f_reset.reset_n
|
97 |
|
|
.h2f_axi_clk (h2f_axi_clk), // h2f_axi_clock.clk
|
98 |
|
|
.h2f_AWID (h2f_AWID), // h2f_axi_master.awid
|
99 |
|
|
.h2f_AWADDR (h2f_AWADDR), // .awaddr
|
100 |
|
|
.h2f_AWLEN (h2f_AWLEN), // .awlen
|
101 |
|
|
.h2f_AWSIZE (h2f_AWSIZE), // .awsize
|
102 |
|
|
.h2f_AWBURST (h2f_AWBURST), // .awburst
|
103 |
|
|
.h2f_AWLOCK (h2f_AWLOCK), // .awlock
|
104 |
|
|
.h2f_AWCACHE (h2f_AWCACHE), // .awcache
|
105 |
|
|
.h2f_AWPROT (h2f_AWPROT), // .awprot
|
106 |
|
|
.h2f_AWVALID (h2f_AWVALID), // .awvalid
|
107 |
|
|
.h2f_AWREADY (h2f_AWREADY), // .awready
|
108 |
|
|
.h2f_WID (h2f_WID), // .wid
|
109 |
|
|
.h2f_WDATA (h2f_WDATA), // .wdata
|
110 |
|
|
.h2f_WSTRB (h2f_WSTRB), // .wstrb
|
111 |
|
|
.h2f_WLAST (h2f_WLAST), // .wlast
|
112 |
|
|
.h2f_WVALID (h2f_WVALID), // .wvalid
|
113 |
|
|
.h2f_WREADY (h2f_WREADY), // .wready
|
114 |
|
|
.h2f_BID (h2f_BID), // .bid
|
115 |
|
|
.h2f_BRESP (h2f_BRESP), // .bresp
|
116 |
|
|
.h2f_BVALID (h2f_BVALID), // .bvalid
|
117 |
|
|
.h2f_BREADY (h2f_BREADY), // .bready
|
118 |
|
|
.h2f_ARID (h2f_ARID), // .arid
|
119 |
|
|
.h2f_ARADDR (h2f_ARADDR), // .araddr
|
120 |
|
|
.h2f_ARLEN (h2f_ARLEN), // .arlen
|
121 |
|
|
.h2f_ARSIZE (h2f_ARSIZE), // .arsize
|
122 |
|
|
.h2f_ARBURST (h2f_ARBURST), // .arburst
|
123 |
|
|
.h2f_ARLOCK (h2f_ARLOCK), // .arlock
|
124 |
|
|
.h2f_ARCACHE (h2f_ARCACHE), // .arcache
|
125 |
|
|
.h2f_ARPROT (h2f_ARPROT), // .arprot
|
126 |
|
|
.h2f_ARVALID (h2f_ARVALID), // .arvalid
|
127 |
|
|
.h2f_ARREADY (h2f_ARREADY), // .arready
|
128 |
|
|
.h2f_RID (h2f_RID), // .rid
|
129 |
|
|
.h2f_RDATA (h2f_RDATA), // .rdata
|
130 |
|
|
.h2f_RRESP (h2f_RRESP), // .rresp
|
131 |
|
|
.h2f_RLAST (h2f_RLAST), // .rlast
|
132 |
|
|
.h2f_RVALID (h2f_RVALID), // .rvalid
|
133 |
|
|
.h2f_RREADY (h2f_RREADY) // .rready
|
134 |
|
|
);
|
135 |
|
|
|
136 |
|
|
ulight_fifo_hps_0_hps_io hps_io (
|
137 |
|
|
.mem_a (mem_a), // memory.mem_a
|
138 |
|
|
.mem_ba (mem_ba), // .mem_ba
|
139 |
|
|
.mem_ck (mem_ck), // .mem_ck
|
140 |
|
|
.mem_ck_n (mem_ck_n), // .mem_ck_n
|
141 |
|
|
.mem_cke (mem_cke), // .mem_cke
|
142 |
|
|
.mem_cs_n (mem_cs_n), // .mem_cs_n
|
143 |
|
|
.mem_ras_n (mem_ras_n), // .mem_ras_n
|
144 |
|
|
.mem_cas_n (mem_cas_n), // .mem_cas_n
|
145 |
|
|
.mem_we_n (mem_we_n), // .mem_we_n
|
146 |
|
|
.mem_reset_n (mem_reset_n), // .mem_reset_n
|
147 |
|
|
.mem_dq (mem_dq), // .mem_dq
|
148 |
|
|
.mem_dqs (mem_dqs), // .mem_dqs
|
149 |
|
|
.mem_dqs_n (mem_dqs_n), // .mem_dqs_n
|
150 |
|
|
.mem_odt (mem_odt), // .mem_odt
|
151 |
|
|
.mem_dm (mem_dm), // .mem_dm
|
152 |
|
|
.oct_rzqin (oct_rzqin) // .oct_rzqin
|
153 |
|
|
);
|
154 |
|
|
|
155 |
|
|
endmodule
|