OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [sim/] [T6507LP_ULA/] [T6507LP_ALU_TestBench.v] - Blame information for rev 80

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 79 gabrielosh
`timescale 1ns / 1ps
2
module T6507LP_ALU_TestBench(input dummy,output error);
3
 
4
`include  "T6507LP_Package.v"
5
 
6
reg clk_i;
7
reg n_rst_i;
8
reg alu_enable;
9
wire [7:0] alu_result;
10
wire [7:0] alu_status;
11
reg [7:0] alu_opcode;
12
reg [7:0] alu_a;
13
 
14
//`include "T6507LP_Package.v"
15
 
16
T6507LP_ALU DUT (
17
                        .clk_i          (clk_i),
18
                        .n_rst_i        (n_rst_i),
19
                        .alu_enable     (alu_enable),
20
                        .alu_result     (alu_result),
21
                        .alu_status     (alu_status),
22
                        .alu_opcode     (alu_opcode),
23
                        .alu_a          (alu_a)
24
                );
25
 
26
localparam period = 10;
27
 
28
always begin
29
        #(period/2) clk_i = ~clk_i;
30
end
31
 
32
 
33
initial
34
begin
35
        clk_i = 0;
36
        n_rst_i = 1;
37 80 gabrielosh
        @(negedge clk_i);
38
        n_rst_i = 0;
39
        alu_opcode = LDA_IMM;
40
        alu_a = 0;
41 79 gabrielosh
        @(negedge clk_i);
42
        alu_opcode = ADC_IMM;
43
        alu_a = 1;
44
        while (1) begin
45 80 gabrielosh
                $display("op1 = %h op2 =  c = %h d = %h n = %h v = %h ", alu_a, alu_status[C], alu_status[D], alu_status[N], alu_status[V]);
46 79 gabrielosh
        end
47
        $finish;
48
end
49
endmodule
50
 

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.