OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /a-z80/trunk/cpu/registers
    from Rev 3 to Rev 8
    Reverse comparison

Rev 3 → Rev 8

/reg_file.v
14,7 → 14,7
 
// PROGRAM "Quartus II 64-Bit"
// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition"
// CREATED "Fri Nov 07 10:28:48 2014"
// CREATED "Tue Mar 08 06:12:46 2016"
 
module reg_file(
reg_sel_sys_lo,
23,7 → 23,6
reg_sel_gp_hi,
reg_sel_ir,
reg_sel_pc,
ctl_sw_4d,
ctl_sw_4u,
reg_sel_wz,
reg_sel_sp,
45,6 → 44,8
ctl_reg_out_lo,
ctl_reg_out_hi,
clk,
reg_sw_4d_lo,
reg_sw_4d_hi,
db_hi_as,
db_hi_ds,
db_lo_as,
58,7 → 59,6
input wire reg_sel_gp_hi;
input wire reg_sel_ir;
input wire reg_sel_pc;
input wire ctl_sw_4d;
input wire ctl_sw_4u;
input wire reg_sel_wz;
input wire reg_sel_sp;
80,6 → 80,8
input wire ctl_reg_out_lo;
input wire ctl_reg_out_hi;
input wire clk;
input wire reg_sw_4d_lo;
input wire reg_sw_4d_hi;
inout wire [7:0] db_hi_as;
inout wire [7:0] db_hi_ds;
inout wire [7:0] db_lo_as;
501,14 → 503,14
assign gdfx_temp0[1] = ctl_sw_4u ? db_lo_as[1] : 1'bz;
assign gdfx_temp0[0] = ctl_sw_4u ? db_lo_as[0] : 1'bz;
 
assign db_lo_as[7] = ctl_sw_4d ? gdfx_temp0[7] : 1'bz;
assign db_lo_as[6] = ctl_sw_4d ? gdfx_temp0[6] : 1'bz;
assign db_lo_as[5] = ctl_sw_4d ? gdfx_temp0[5] : 1'bz;
assign db_lo_as[4] = ctl_sw_4d ? gdfx_temp0[4] : 1'bz;
assign db_lo_as[3] = ctl_sw_4d ? gdfx_temp0[3] : 1'bz;
assign db_lo_as[2] = ctl_sw_4d ? gdfx_temp0[2] : 1'bz;
assign db_lo_as[1] = ctl_sw_4d ? gdfx_temp0[1] : 1'bz;
assign db_lo_as[0] = ctl_sw_4d ? gdfx_temp0[0] : 1'bz;
assign db_lo_as[7] = reg_sw_4d_lo ? gdfx_temp0[7] : 1'bz;
assign db_lo_as[6] = reg_sw_4d_lo ? gdfx_temp0[6] : 1'bz;
assign db_lo_as[5] = reg_sw_4d_lo ? gdfx_temp0[5] : 1'bz;
assign db_lo_as[4] = reg_sw_4d_lo ? gdfx_temp0[4] : 1'bz;
assign db_lo_as[3] = reg_sw_4d_lo ? gdfx_temp0[3] : 1'bz;
assign db_lo_as[2] = reg_sw_4d_lo ? gdfx_temp0[2] : 1'bz;
assign db_lo_as[1] = reg_sw_4d_lo ? gdfx_temp0[1] : 1'bz;
assign db_lo_as[0] = reg_sw_4d_lo ? gdfx_temp0[0] : 1'bz;
 
assign gdfx_temp1[7] = ctl_sw_4u ? db_hi_as[7] : 1'bz;
assign gdfx_temp1[6] = ctl_sw_4u ? db_hi_as[6] : 1'bz;
519,14 → 521,14
assign gdfx_temp1[1] = ctl_sw_4u ? db_hi_as[1] : 1'bz;
assign gdfx_temp1[0] = ctl_sw_4u ? db_hi_as[0] : 1'bz;
 
assign db_hi_as[7] = ctl_sw_4d ? gdfx_temp1[7] : 1'bz;
assign db_hi_as[6] = ctl_sw_4d ? gdfx_temp1[6] : 1'bz;
assign db_hi_as[5] = ctl_sw_4d ? gdfx_temp1[5] : 1'bz;
assign db_hi_as[4] = ctl_sw_4d ? gdfx_temp1[4] : 1'bz;
assign db_hi_as[3] = ctl_sw_4d ? gdfx_temp1[3] : 1'bz;
assign db_hi_as[2] = ctl_sw_4d ? gdfx_temp1[2] : 1'bz;
assign db_hi_as[1] = ctl_sw_4d ? gdfx_temp1[1] : 1'bz;
assign db_hi_as[0] = ctl_sw_4d ? gdfx_temp1[0] : 1'bz;
assign db_hi_as[7] = reg_sw_4d_hi ? gdfx_temp1[7] : 1'bz;
assign db_hi_as[6] = reg_sw_4d_hi ? gdfx_temp1[6] : 1'bz;
assign db_hi_as[5] = reg_sw_4d_hi ? gdfx_temp1[5] : 1'bz;
assign db_hi_as[4] = reg_sw_4d_hi ? gdfx_temp1[4] : 1'bz;
assign db_hi_as[3] = reg_sw_4d_hi ? gdfx_temp1[3] : 1'bz;
assign db_hi_as[2] = reg_sw_4d_hi ? gdfx_temp1[2] : 1'bz;
assign db_hi_as[1] = reg_sw_4d_hi ? gdfx_temp1[1] : 1'bz;
assign db_hi_as[0] = reg_sw_4d_hi ? gdfx_temp1[0] : 1'bz;
 
assign db_lo_ds[7] = ctl_reg_out_lo ? gdfx_temp0[7] : 1'bz;
assign db_lo_ds[6] = ctl_reg_out_lo ? gdfx_temp0[6] : 1'bz;
/reg_control.v
14,7 → 14,7
 
// PROGRAM "Quartus II 64-Bit"
// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition"
// CREATED "Fri Oct 31 20:41:01 2014"
// CREATED "Tue Mar 08 20:46:27 2016"
 
module reg_control(
ctl_reg_exx,
33,6 → 33,7
ctl_reg_sys_we_hi,
ctl_reg_sys_we,
clk,
ctl_sw_4d,
ctl_reg_gp_hilo,
ctl_reg_gp_sel,
ctl_reg_sys_hilo,
56,7 → 57,9
reg_sel_sys_hi,
reg_gp_we,
reg_sys_we_lo,
reg_sys_we_hi
reg_sys_we_hi,
reg_sw_4d_lo,
reg_sw_4d_hi
);
 
 
76,6 → 79,7
input wire ctl_reg_sys_we_hi;
input wire ctl_reg_sys_we;
input wire clk;
input wire ctl_sw_4d;
input wire [1:0] ctl_reg_gp_hilo;
input wire [1:0] ctl_reg_gp_sel;
input wire [1:0] ctl_reg_sys_hilo;
100,23 → 104,26
output wire reg_gp_we;
output wire reg_sys_we_lo;
output wire reg_sys_we_hi;
output wire reg_sw_4d_lo;
output wire reg_sw_4d_hi;
 
reg bank_af;
reg bank_exx;
reg bank_hl_de1;
reg bank_hl_de2;
wire SYNTHESIZED_WIRE_49;
wire SYNTHESIZED_WIRE_50;
wire SYNTHESIZED_WIRE_2;
wire SYNTHESIZED_WIRE_51;
wire reg_sys_we_lo_ALTERA_SYNTHESIZED;
wire SYNTHESIZED_WIRE_52;
wire SYNTHESIZED_WIRE_5;
wire SYNTHESIZED_WIRE_53;
wire SYNTHESIZED_WIRE_10;
wire SYNTHESIZED_WIRE_2;
wire SYNTHESIZED_WIRE_54;
wire SYNTHESIZED_WIRE_55;
wire SYNTHESIZED_WIRE_5;
wire SYNTHESIZED_WIRE_56;
wire SYNTHESIZED_WIRE_10;
wire SYNTHESIZED_WIRE_57;
wire SYNTHESIZED_WIRE_58;
wire SYNTHESIZED_WIRE_59;
wire SYNTHESIZED_WIRE_60;
wire SYNTHESIZED_WIRE_21;
wire SYNTHESIZED_WIRE_23;
wire SYNTHESIZED_WIRE_24;
124,7 → 131,7
wire SYNTHESIZED_WIRE_30;
wire SYNTHESIZED_WIRE_31;
wire SYNTHESIZED_WIRE_32;
wire SYNTHESIZED_WIRE_58;
wire SYNTHESIZED_WIRE_61;
wire SYNTHESIZED_WIRE_34;
wire SYNTHESIZED_WIRE_36;
wire SYNTHESIZED_WIRE_37;
138,6 → 145,9
wire SYNTHESIZED_WIRE_45;
wire SYNTHESIZED_WIRE_46;
wire SYNTHESIZED_WIRE_47;
wire SYNTHESIZED_WIRE_48;
wire SYNTHESIZED_WIRE_49;
wire SYNTHESIZED_WIRE_50;
 
assign reg_sel_wz = ctl_reg_sel_wz;
assign reg_sel_ir = ctl_reg_sel_ir;
146,86 → 156,89
assign reg_sel_sys_lo = ctl_reg_sys_hilo[0];
assign reg_sel_sys_hi = ctl_reg_sys_hilo[1];
assign reg_gp_we = ctl_reg_gp_we;
assign reg_sw_4d_lo = ctl_sw_4d;
 
 
 
assign reg_sel_bc = SYNTHESIZED_WIRE_49 & SYNTHESIZED_WIRE_50;
assign reg_sel_bc = SYNTHESIZED_WIRE_52 & SYNTHESIZED_WIRE_53;
 
assign reg_sel_af = SYNTHESIZED_WIRE_2 & SYNTHESIZED_WIRE_51;
assign reg_sel_af = SYNTHESIZED_WIRE_2 & SYNTHESIZED_WIRE_54;
 
assign SYNTHESIZED_WIRE_51 = SYNTHESIZED_WIRE_52 & SYNTHESIZED_WIRE_5;
assign SYNTHESIZED_WIRE_54 = SYNTHESIZED_WIRE_55 & SYNTHESIZED_WIRE_5;
 
assign reg_sel_sp = SYNTHESIZED_WIRE_52 & ctl_reg_use_sp;
assign reg_sel_sp = SYNTHESIZED_WIRE_55 & ctl_reg_use_sp;
 
assign SYNTHESIZED_WIRE_5 = ~ctl_reg_use_sp;
 
assign reg_sel_ix = SYNTHESIZED_WIRE_53 & use_ix;
assign reg_sel_ix = SYNTHESIZED_WIRE_56 & use_ix;
 
assign SYNTHESIZED_WIRE_37 = ctl_reg_ex_de_hl & SYNTHESIZED_WIRE_50;
assign SYNTHESIZED_WIRE_37 = ctl_reg_ex_de_hl & SYNTHESIZED_WIRE_53;
 
assign reg_sel_iy = SYNTHESIZED_WIRE_53 & SYNTHESIZED_WIRE_10;
assign reg_sel_iy = SYNTHESIZED_WIRE_56 & SYNTHESIZED_WIRE_10;
 
assign reg_sel_af2 = bank_af & SYNTHESIZED_WIRE_51;
assign reg_sel_af2 = bank_af & SYNTHESIZED_WIRE_54;
 
assign SYNTHESIZED_WIRE_2 = ~bank_af;
 
assign SYNTHESIZED_WIRE_45 = SYNTHESIZED_WIRE_54 & SYNTHESIZED_WIRE_55;
assign SYNTHESIZED_WIRE_48 = SYNTHESIZED_WIRE_57 & SYNTHESIZED_WIRE_58;
 
assign SYNTHESIZED_WIRE_44 = bank_hl_de2 & SYNTHESIZED_WIRE_56;
assign SYNTHESIZED_WIRE_47 = bank_hl_de2 & SYNTHESIZED_WIRE_59;
 
assign SYNTHESIZED_WIRE_40 = SYNTHESIZED_WIRE_57 & SYNTHESIZED_WIRE_55;
assign SYNTHESIZED_WIRE_41 = SYNTHESIZED_WIRE_60 & SYNTHESIZED_WIRE_58;
 
assign SYNTHESIZED_WIRE_47 = bank_hl_de2 & SYNTHESIZED_WIRE_55;
assign SYNTHESIZED_WIRE_50 = bank_hl_de2 & SYNTHESIZED_WIRE_58;
 
assign SYNTHESIZED_WIRE_46 = SYNTHESIZED_WIRE_54 & SYNTHESIZED_WIRE_56;
assign SYNTHESIZED_WIRE_49 = SYNTHESIZED_WIRE_57 & SYNTHESIZED_WIRE_59;
 
assign reg_sel_de = SYNTHESIZED_WIRE_50 & SYNTHESIZED_WIRE_21;
assign reg_sel_de = SYNTHESIZED_WIRE_53 & SYNTHESIZED_WIRE_21;
 
assign reg_sel_hl = SYNTHESIZED_WIRE_50 & SYNTHESIZED_WIRE_23;
assign reg_sel_hl = SYNTHESIZED_WIRE_53 & SYNTHESIZED_WIRE_23;
 
assign reg_sel_de2 = bank_exx & SYNTHESIZED_WIRE_24;
 
assign reg_sel_hl2 = bank_exx & SYNTHESIZED_WIRE_25;
 
assign SYNTHESIZED_WIRE_39 = bank_hl_de1 & SYNTHESIZED_WIRE_56;
assign SYNTHESIZED_WIRE_40 = bank_hl_de1 & SYNTHESIZED_WIRE_59;
 
assign SYNTHESIZED_WIRE_50 = ~bank_exx;
assign SYNTHESIZED_WIRE_53 = ~bank_exx;
 
assign SYNTHESIZED_WIRE_43 = bank_hl_de1 & SYNTHESIZED_WIRE_55;
assign SYNTHESIZED_WIRE_46 = bank_hl_de1 & SYNTHESIZED_WIRE_58;
 
assign SYNTHESIZED_WIRE_42 = SYNTHESIZED_WIRE_57 & SYNTHESIZED_WIRE_56;
assign SYNTHESIZED_WIRE_45 = SYNTHESIZED_WIRE_60 & SYNTHESIZED_WIRE_59;
 
assign SYNTHESIZED_WIRE_49 = SYNTHESIZED_WIRE_30 & SYNTHESIZED_WIRE_31;
assign SYNTHESIZED_WIRE_52 = SYNTHESIZED_WIRE_30 & SYNTHESIZED_WIRE_31;
 
assign SYNTHESIZED_WIRE_57 = ~bank_hl_de1;
assign SYNTHESIZED_WIRE_60 = ~bank_hl_de1;
 
assign reg_sys_we_hi = ctl_reg_sys_we | ctl_reg_sys_we_hi;
 
assign reg_sel_pc = ctl_reg_sel_pc & SYNTHESIZED_WIRE_32;
 
assign SYNTHESIZED_WIRE_55 = SYNTHESIZED_WIRE_58 & SYNTHESIZED_WIRE_34;
assign SYNTHESIZED_WIRE_58 = SYNTHESIZED_WIRE_61 & SYNTHESIZED_WIRE_34;
 
assign SYNTHESIZED_WIRE_32 = ~ctl_reg_not_pc;
 
assign SYNTHESIZED_WIRE_36 = ~ctl_reg_gp_sel[1];
 
assign reg_sys_we_lo = ctl_reg_sys_we_lo | ctl_reg_sys_we;
assign reg_sys_we_lo_ALTERA_SYNTHESIZED = ctl_reg_sys_we_lo | ctl_reg_sys_we;
 
assign SYNTHESIZED_WIRE_53 = SYNTHESIZED_WIRE_58 & use_ixiy;
assign SYNTHESIZED_WIRE_56 = SYNTHESIZED_WIRE_61 & use_ixiy;
 
assign SYNTHESIZED_WIRE_41 = ~ctl_reg_gp_sel[0];
assign SYNTHESIZED_WIRE_44 = ~ctl_reg_gp_sel[0];
 
assign SYNTHESIZED_WIRE_38 = ctl_reg_ex_de_hl & bank_exx;
assign SYNTHESIZED_WIRE_39 = ctl_reg_ex_de_hl & bank_exx;
 
assign SYNTHESIZED_WIRE_34 = ~use_ixiy;
 
assign SYNTHESIZED_WIRE_56 = ctl_reg_gp_sel[0] & SYNTHESIZED_WIRE_36;
assign SYNTHESIZED_WIRE_59 = ctl_reg_gp_sel[0] & SYNTHESIZED_WIRE_36;
 
assign SYNTHESIZED_WIRE_10 = ~use_ix;
 
assign SYNTHESIZED_WIRE_54 = ~bank_hl_de2;
assign SYNTHESIZED_WIRE_57 = ~bank_hl_de2;
 
assign SYNTHESIZED_WIRE_43 = ~reg_sys_we_lo_ALTERA_SYNTHESIZED;
 
 
always@(posedge clk or negedge nreset)
begin
if (!nreset)
236,7 → 249,9
bank_hl_de1 <= bank_hl_de1 ^ SYNTHESIZED_WIRE_37;
end
 
assign SYNTHESIZED_WIRE_42 = ~SYNTHESIZED_WIRE_38;
 
 
always@(posedge clk or negedge nreset)
begin
if (!nreset)
244,21 → 259,25
bank_hl_de2 <= 0;
end
else
bank_hl_de2 <= bank_hl_de2 ^ SYNTHESIZED_WIRE_38;
bank_hl_de2 <= bank_hl_de2 ^ SYNTHESIZED_WIRE_39;
end
 
assign SYNTHESIZED_WIRE_23 = SYNTHESIZED_WIRE_39 | SYNTHESIZED_WIRE_40;
assign SYNTHESIZED_WIRE_23 = SYNTHESIZED_WIRE_40 | SYNTHESIZED_WIRE_41;
 
assign SYNTHESIZED_WIRE_58 = SYNTHESIZED_WIRE_41 & ctl_reg_gp_sel[1];
assign reg_sw_4d_hi = ctl_sw_4d & SYNTHESIZED_WIRE_42;
 
assign SYNTHESIZED_WIRE_21 = SYNTHESIZED_WIRE_42 | SYNTHESIZED_WIRE_43;
assign SYNTHESIZED_WIRE_38 = ctl_reg_sys_hilo[1] & SYNTHESIZED_WIRE_43 & ctl_reg_sel_ir;
 
assign SYNTHESIZED_WIRE_25 = SYNTHESIZED_WIRE_44 | SYNTHESIZED_WIRE_45;
assign SYNTHESIZED_WIRE_61 = SYNTHESIZED_WIRE_44 & ctl_reg_gp_sel[1];
 
assign SYNTHESIZED_WIRE_24 = SYNTHESIZED_WIRE_46 | SYNTHESIZED_WIRE_47;
assign SYNTHESIZED_WIRE_21 = SYNTHESIZED_WIRE_45 | SYNTHESIZED_WIRE_46;
 
assign SYNTHESIZED_WIRE_52 = ctl_reg_gp_sel[0] & ctl_reg_gp_sel[1];
assign SYNTHESIZED_WIRE_25 = SYNTHESIZED_WIRE_47 | SYNTHESIZED_WIRE_48;
 
assign SYNTHESIZED_WIRE_24 = SYNTHESIZED_WIRE_49 | SYNTHESIZED_WIRE_50;
 
assign SYNTHESIZED_WIRE_55 = ctl_reg_gp_sel[0] & ctl_reg_gp_sel[1];
 
assign SYNTHESIZED_WIRE_30 = ~ctl_reg_gp_sel[0];
 
assign SYNTHESIZED_WIRE_31 = ~ctl_reg_gp_sel[1];
274,7 → 293,7
bank_exx <= bank_exx ^ ctl_reg_exx;
end
 
assign reg_sel_bc2 = SYNTHESIZED_WIRE_49 & bank_exx;
assign reg_sel_bc2 = SYNTHESIZED_WIRE_52 & bank_exx;
 
 
always@(posedge clk or negedge nreset)
287,5 → 306,6
bank_af <= bank_af ^ ctl_reg_ex_af;
end
 
assign reg_sys_we_lo = reg_sys_we_lo_ALTERA_SYNTHESIZED;
 
endmodule
/test_regfile.sv
30,7 → 30,8
 
// ----------------- CONTROL -----------------
reg ctl_sw_4u_sig; // Bus switch #4 upstream gate
reg ctl_sw_4d_sig; // Bus switch #4 downstream gate
reg reg_sw_4d_lo_sig; // Bus switch #4 downstream gate low byte lane
reg reg_sw_4d_hi_sig; // Bus switch #4 downstream gate high byte lane
 
// ----------------- GP REGS -----------------
reg reg_sel_af_sig; // Select AF register
63,7 → 64,8
assert(db_sig===arg);
 
initial begin
ctl_sw_4d_sig = 0;
reg_sw_4d_lo_sig = 0;
reg_sw_4d_hi_sig = 0;
ctl_sw_4u_sig = 0;
 
reg_sel_af_sig = 0; // Select AF register
139,7 → 141,8
.reg_sel_gp_hi(reg_sel_gp_hi_sig) , // input reg_sel_gp_hi_sig
.reg_sel_ir(reg_sel_ir_sig) , // input reg_sel_ir_sig
.reg_sel_pc(reg_sel_pc_sig) , // input reg_sel_pc_sig
.ctl_sw_4d(ctl_sw_4d_sig) , // input ctl_sw_4d_sig
.reg_sw_4d_lo(reg_sw_4d_lo_sig) , // input reg_sw_4d_lo_sig
.reg_sw_4d_hi(reg_sw_4d_hi_sig) , // input reg_sw_4d_hi_sig
.ctl_sw_4u(ctl_sw_4u_sig) , // input ctl_sw_4u_sig
.reg_sel_wz(reg_sel_wz_sig) , // input reg_sel_wz_sig
.reg_sel_sp(reg_sel_sp_sig) , // input reg_sel_sp_sig
/simulation/modelsim/test_registers.mpf
2,9 → 2,9
;
; All Rights Reserved.
;
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
;
;
 
[Library]
std = $MODEL_TECH/../std
129,7 → 129,7
 
work = work
[vcom]
; VHDL93 variable selects language version as the default.
; VHDL93 variable selects language version as the default.
; Default is VHDL-2002.
; Value of 0 or 1987 for VHDL-1987.
; Value of 1 or 1993 for VHDL-1993.
251,7 → 251,7
UserTimeUnit = default
 
; Default run length
RunLength = 0 ns
RunLength = 100 ns
 
; Maximum iterations that can be run without advancing simulation time
IterationLimit = 5000
272,7 → 272,7
BreakOnAssertion = 4
 
; Assertion Message Format
; %S - Severity Level
; %S - Severity Level
; %R - Report Message
; %T - Time of assertion
; %D - Delta
424,10 → 424,10
; description of a message.
 
; Control transcripting of elaboration/runtime messages.
; The default is to have messages appear in the transcript and
; The default is to have messages appear in the transcript and
; recorded in the wlf file (messages that are recorded in the
; wlf file can be viewed in the MsgViewer). The other settings
; are to send messages only to the transcript or only to the
; are to send messages only to the transcript or only to the
; wlf file. The valid values are
; both {default}
; tran {transcript only}
469,42 → 469,42
ForceSoftPaths = 1
ProjectStatusDelay = 5000
VERILOG_DoubleClick = Edit
VERILOG_CustomDoubleClick =
VERILOG_CustomDoubleClick =
SYSTEMVERILOG_DoubleClick = Edit
SYSTEMVERILOG_CustomDoubleClick =
SYSTEMVERILOG_CustomDoubleClick =
VHDL_DoubleClick = Edit
VHDL_CustomDoubleClick =
VHDL_CustomDoubleClick =
PSL_DoubleClick = Edit
PSL_CustomDoubleClick =
PSL_CustomDoubleClick =
TEXT_DoubleClick = Edit
TEXT_CustomDoubleClick =
TEXT_CustomDoubleClick =
SYSTEMC_DoubleClick = Edit
SYSTEMC_CustomDoubleClick =
SYSTEMC_CustomDoubleClick =
TCL_DoubleClick = Edit
TCL_CustomDoubleClick =
TCL_CustomDoubleClick =
MACRO_DoubleClick = Edit
MACRO_CustomDoubleClick =
MACRO_CustomDoubleClick =
VCD_DoubleClick = Edit
VCD_CustomDoubleClick =
VCD_CustomDoubleClick =
SDF_DoubleClick = Edit
SDF_CustomDoubleClick =
SDF_CustomDoubleClick =
XML_DoubleClick = Edit
XML_CustomDoubleClick =
XML_CustomDoubleClick =
LOGFILE_DoubleClick = Edit
LOGFILE_CustomDoubleClick =
LOGFILE_CustomDoubleClick =
UCDB_DoubleClick = Edit
UCDB_CustomDoubleClick =
UCDB_CustomDoubleClick =
UPF_DoubleClick = Edit
UPF_CustomDoubleClick =
UPF_CustomDoubleClick =
PCF_DoubleClick = Edit
PCF_CustomDoubleClick =
PCF_CustomDoubleClick =
PROJECT_DoubleClick = Edit
PROJECT_CustomDoubleClick =
PROJECT_CustomDoubleClick =
VRM_DoubleClick = Edit
VRM_CustomDoubleClick =
VRM_CustomDoubleClick =
DEBUGDATABASE_DoubleClick = Edit
DEBUGDATABASE_CustomDoubleClick =
DEBUGDATABASE_CustomDoubleClick =
DEBUGARCHIVE_DoubleClick = Edit
DEBUGARCHIVE_CustomDoubleClick =
DEBUGARCHIVE_CustomDoubleClick =
Project_Major_Version = 10
Project_Minor_Version = 1
/simulation/modelsim/wave_registers.do
12,6 → 12,8
add wave -noupdate -divider Control
add wave -noupdate -itemcolor Violet /test_registers/ctl_sw_4u_sig
add wave -noupdate -itemcolor Violet /test_registers/ctl_sw_4d_sig
add wave -noupdate -itemcolor Violet /test_registers/reg_file_inst/reg_sw_4d_lo
add wave -noupdate -itemcolor Violet /test_registers/reg_file_inst/reg_sw_4d_hi
add wave -noupdate /test_registers/ctl_reg_in_hi_sig
add wave -noupdate /test_registers/ctl_reg_in_lo_sig
add wave -noupdate /test_registers/ctl_reg_out_hi_sig
41,10 → 43,10
add wave -noupdate -color Coral -itemcolor Gold /test_registers/reg_sel_sys_hi_sig
add wave -noupdate -color Coral -itemcolor Gold /test_registers/reg_sel_sys_lo_sig
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {1300 ns} 0}
WaveRestoreCursors {{Cursor 1} {1200 ns} 0}
quietly wave cursor active 1
configure wave -namecolwidth 236
configure wave -valuecolwidth 67
configure wave -namecolwidth 260
configure wave -valuecolwidth 39
configure wave -justifyvalue left
configure wave -signalnamewidth 0
configure wave -snapdistance 10
/reg_file.bsf
40,186 → 40,193
(port
(pt 0 64)
(input)
(text "ctl_sw_4d" (rect 0 0 60 14)(font "Arial" (font_size 8)))
(text "ctl_sw_4d" (rect 21 59 81 73)(font "Arial" (font_size 8)))
(text "ctl_sw_4u" (rect 0 0 60 14)(font "Arial" (font_size 8)))
(text "ctl_sw_4u" (rect 21 59 81 73)(font "Arial" (font_size 8)))
(line (pt 0 64)(pt 16 64))
)
(port
(pt 0 80)
(input)
(text "ctl_sw_4u" (rect 0 0 60 14)(font "Arial" (font_size 8)))
(text "ctl_sw_4u" (rect 21 75 81 89)(font "Arial" (font_size 8)))
(text "reg_sel_wz" (rect 0 0 68 14)(font "Arial" (font_size 8)))
(text "reg_sel_wz" (rect 21 75 89 89)(font "Arial" (font_size 8)))
(line (pt 0 80)(pt 16 80))
)
(port
(pt 0 96)
(input)
(text "reg_sel_wz" (rect 0 0 68 14)(font "Arial" (font_size 8)))
(text "reg_sel_wz" (rect 21 91 89 105)(font "Arial" (font_size 8)))
(text "reg_sel_sp" (rect 0 0 63 14)(font "Arial" (font_size 8)))
(text "reg_sel_sp" (rect 21 91 84 105)(font "Arial" (font_size 8)))
(line (pt 0 96)(pt 16 96))
)
(port
(pt 0 112)
(input)
(text "reg_sel_sp" (rect 0 0 63 14)(font "Arial" (font_size 8)))
(text "reg_sel_sp" (rect 21 107 84 121)(font "Arial" (font_size 8)))
(text "reg_sel_iy" (rect 0 0 59 14)(font "Arial" (font_size 8)))
(text "reg_sel_iy" (rect 21 107 80 121)(font "Arial" (font_size 8)))
(line (pt 0 112)(pt 16 112))
)
(port
(pt 0 128)
(input)
(text "reg_sel_iy" (rect 0 0 59 14)(font "Arial" (font_size 8)))
(text "reg_sel_iy" (rect 21 123 80 137)(font "Arial" (font_size 8)))
(text "reg_sel_ix" (rect 0 0 59 14)(font "Arial" (font_size 8)))
(text "reg_sel_ix" (rect 21 123 80 137)(font "Arial" (font_size 8)))
(line (pt 0 128)(pt 16 128))
)
(port
(pt 0 144)
(input)
(text "reg_sel_ix" (rect 0 0 59 14)(font "Arial" (font_size 8)))
(text "reg_sel_ix" (rect 21 139 80 153)(font "Arial" (font_size 8)))
(text "reg_sel_hl2" (rect 0 0 66 14)(font "Arial" (font_size 8)))
(text "reg_sel_hl2" (rect 21 139 87 153)(font "Arial" (font_size 8)))
(line (pt 0 144)(pt 16 144))
)
(port
(pt 0 160)
(input)
(text "reg_sel_hl2" (rect 0 0 66 14)(font "Arial" (font_size 8)))
(text "reg_sel_hl2" (rect 21 155 87 169)(font "Arial" (font_size 8)))
(text "reg_sel_hl" (rect 0 0 59 14)(font "Arial" (font_size 8)))
(text "reg_sel_hl" (rect 21 155 80 169)(font "Arial" (font_size 8)))
(line (pt 0 160)(pt 16 160))
)
(port
(pt 0 176)
(input)
(text "reg_sel_hl" (rect 0 0 59 14)(font "Arial" (font_size 8)))
(text "reg_sel_hl" (rect 21 171 80 185)(font "Arial" (font_size 8)))
(text "reg_sel_de2" (rect 0 0 70 14)(font "Arial" (font_size 8)))
(text "reg_sel_de2" (rect 21 171 91 185)(font "Arial" (font_size 8)))
(line (pt 0 176)(pt 16 176))
)
(port
(pt 0 192)
(input)
(text "reg_sel_de2" (rect 0 0 70 14)(font "Arial" (font_size 8)))
(text "reg_sel_de2" (rect 21 187 91 201)(font "Arial" (font_size 8)))
(text "reg_sel_de" (rect 0 0 63 14)(font "Arial" (font_size 8)))
(text "reg_sel_de" (rect 21 187 84 201)(font "Arial" (font_size 8)))
(line (pt 0 192)(pt 16 192))
)
(port
(pt 0 208)
(input)
(text "reg_sel_de" (rect 0 0 63 14)(font "Arial" (font_size 8)))
(text "reg_sel_de" (rect 21 203 84 217)(font "Arial" (font_size 8)))
(text "reg_sel_bc2" (rect 0 0 70 14)(font "Arial" (font_size 8)))
(text "reg_sel_bc2" (rect 21 203 91 217)(font "Arial" (font_size 8)))
(line (pt 0 208)(pt 16 208))
)
(port
(pt 0 224)
(input)
(text "reg_sel_bc2" (rect 0 0 70 14)(font "Arial" (font_size 8)))
(text "reg_sel_bc2" (rect 21 219 91 233)(font "Arial" (font_size 8)))
(text "reg_sel_bc" (rect 0 0 63 14)(font "Arial" (font_size 8)))
(text "reg_sel_bc" (rect 21 219 84 233)(font "Arial" (font_size 8)))
(line (pt 0 224)(pt 16 224))
)
(port
(pt 0 240)
(input)
(text "reg_sel_bc" (rect 0 0 63 14)(font "Arial" (font_size 8)))
(text "reg_sel_bc" (rect 21 235 84 249)(font "Arial" (font_size 8)))
(text "reg_sel_af2" (rect 0 0 68 14)(font "Arial" (font_size 8)))
(text "reg_sel_af2" (rect 21 235 89 249)(font "Arial" (font_size 8)))
(line (pt 0 240)(pt 16 240))
)
(port
(pt 0 256)
(input)
(text "reg_sel_af2" (rect 0 0 68 14)(font "Arial" (font_size 8)))
(text "reg_sel_af2" (rect 21 251 89 265)(font "Arial" (font_size 8)))
(text "reg_sel_af" (rect 0 0 61 14)(font "Arial" (font_size 8)))
(text "reg_sel_af" (rect 21 251 82 265)(font "Arial" (font_size 8)))
(line (pt 0 256)(pt 16 256))
)
(port
(pt 0 272)
(input)
(text "reg_sel_af" (rect 0 0 61 14)(font "Arial" (font_size 8)))
(text "reg_sel_af" (rect 21 267 82 281)(font "Arial" (font_size 8)))
(text "ctl_reg_in_hi" (rect 0 0 71 14)(font "Arial" (font_size 8)))
(text "ctl_reg_in_hi" (rect 21 267 92 281)(font "Arial" (font_size 8)))
(line (pt 0 272)(pt 16 272))
)
(port
(pt 0 288)
(input)
(text "ctl_reg_in_hi" (rect 0 0 71 14)(font "Arial" (font_size 8)))
(text "ctl_reg_in_hi" (rect 21 283 92 297)(font "Arial" (font_size 8)))
(text "ctl_reg_in_lo" (rect 0 0 71 14)(font "Arial" (font_size 8)))
(text "ctl_reg_in_lo" (rect 21 283 92 297)(font "Arial" (font_size 8)))
(line (pt 0 288)(pt 16 288))
)
(port
(pt 0 304)
(input)
(text "ctl_reg_in_lo" (rect 0 0 71 14)(font "Arial" (font_size 8)))
(text "ctl_reg_in_lo" (rect 21 299 92 313)(font "Arial" (font_size 8)))
(text "ctl_reg_out_lo" (rect 0 0 80 14)(font "Arial" (font_size 8)))
(text "ctl_reg_out_lo" (rect 21 299 101 313)(font "Arial" (font_size 8)))
(line (pt 0 304)(pt 16 304))
)
(port
(pt 0 320)
(input)
(text "ctl_reg_out_lo" (rect 0 0 80 14)(font "Arial" (font_size 8)))
(text "ctl_reg_out_lo" (rect 21 315 101 329)(font "Arial" (font_size 8)))
(text "ctl_reg_out_hi" (rect 0 0 80 14)(font "Arial" (font_size 8)))
(text "ctl_reg_out_hi" (rect 21 315 101 329)(font "Arial" (font_size 8)))
(line (pt 0 320)(pt 16 320))
)
(port
(pt 0 336)
(input)
(text "ctl_reg_out_hi" (rect 0 0 80 14)(font "Arial" (font_size 8)))
(text "ctl_reg_out_hi" (rect 21 331 101 345)(font "Arial" (font_size 8)))
(text "reg_sw_4d_lo" (rect 0 0 82 14)(font "Arial" (font_size 8)))
(text "reg_sw_4d_lo" (rect 21 331 103 345)(font "Arial" (font_size 8)))
(line (pt 0 336)(pt 16 336))
)
(port
(pt 0 352)
(input)
(text "reg_gp_we" (rect 0 0 66 14)(font "Arial" (font_size 8)))
(text "reg_gp_we" (rect 21 347 87 361)(font "Arial" (font_size 8)))
(text "reg_sw_4d_hi" (rect 0 0 82 14)(font "Arial" (font_size 8)))
(text "reg_sw_4d_hi" (rect 21 347 103 361)(font "Arial" (font_size 8)))
(line (pt 0 352)(pt 16 352))
)
(port
(pt 0 368)
(input)
(text "reg_sys_we_lo" (rect 0 0 89 14)(font "Arial" (font_size 8)))
(text "reg_sys_we_lo" (rect 21 363 110 377)(font "Arial" (font_size 8)))
(text "reg_gp_we" (rect 0 0 66 14)(font "Arial" (font_size 8)))
(text "reg_gp_we" (rect 21 363 87 377)(font "Arial" (font_size 8)))
(line (pt 0 368)(pt 16 368))
)
(port
(pt 0 384)
(input)
(text "reg_sel_sys_lo" (rect 0 0 87 14)(font "Arial" (font_size 8)))
(text "reg_sel_sys_lo" (rect 21 379 108 393)(font "Arial" (font_size 8)))
(text "reg_sys_we_lo" (rect 0 0 89 14)(font "Arial" (font_size 8)))
(text "reg_sys_we_lo" (rect 21 379 110 393)(font "Arial" (font_size 8)))
(line (pt 0 384)(pt 16 384))
)
(port
(pt 0 400)
(input)
(text "reg_sel_gp_lo" (rect 0 0 80 14)(font "Arial" (font_size 8)))
(text "reg_sel_gp_lo" (rect 21 395 101 409)(font "Arial" (font_size 8)))
(text "reg_sel_sys_lo" (rect 0 0 87 14)(font "Arial" (font_size 8)))
(text "reg_sel_sys_lo" (rect 21 395 108 409)(font "Arial" (font_size 8)))
(line (pt 0 400)(pt 16 400))
)
(port
(pt 0 416)
(input)
(text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
(text "clk" (rect 21 411 36 425)(font "Arial" (font_size 8)))
(text "reg_sel_gp_lo" (rect 0 0 80 14)(font "Arial" (font_size 8)))
(text "reg_sel_gp_lo" (rect 21 411 101 425)(font "Arial" (font_size 8)))
(line (pt 0 416)(pt 16 416))
)
(port
(pt 0 432)
(input)
(text "reg_sys_we_hi" (rect 0 0 89 14)(font "Arial" (font_size 8)))
(text "reg_sys_we_hi" (rect 21 427 110 441)(font "Arial" (font_size 8)))
(text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
(text "clk" (rect 21 427 36 441)(font "Arial" (font_size 8)))
(line (pt 0 432)(pt 16 432))
)
(port
(pt 0 448)
(input)
(text "reg_sel_sys_hi" (rect 0 0 87 14)(font "Arial" (font_size 8)))
(text "reg_sel_sys_hi" (rect 21 443 108 457)(font "Arial" (font_size 8)))
(text "reg_sys_we_hi" (rect 0 0 89 14)(font "Arial" (font_size 8)))
(text "reg_sys_we_hi" (rect 21 443 110 457)(font "Arial" (font_size 8)))
(line (pt 0 448)(pt 16 448))
)
(port
(pt 0 464)
(input)
(text "reg_sel_gp_hi" (rect 0 0 80 14)(font "Arial" (font_size 8)))
(text "reg_sel_gp_hi" (rect 21 459 101 473)(font "Arial" (font_size 8)))
(text "reg_sel_sys_hi" (rect 0 0 87 14)(font "Arial" (font_size 8)))
(text "reg_sel_sys_hi" (rect 21 459 108 473)(font "Arial" (font_size 8)))
(line (pt 0 464)(pt 16 464))
)
(port
(pt 0 480)
(input)
(text "reg_sel_gp_hi" (rect 0 0 80 14)(font "Arial" (font_size 8)))
(text "reg_sel_gp_hi" (rect 21 475 101 489)(font "Arial" (font_size 8)))
(line (pt 0 480)(pt 16 480))
)
(port
(pt 224 32)
(bidir)
(text "db_lo_ds[7..0]" (rect 0 0 80 14)(font "Arial" (font_size 8)))
/reg_control.bsf
20,9 → 20,9
*/
(header "symbol" (version "1.2"))
(symbol
(rect 16 16 280 400)
(rect 16 16 280 432)
(text "reg_control" (rect 5 0 69 14)(font "Arial" (font_size 8)))
(text "inst" (rect 8 368 25 380)(font "Arial" ))
(text "inst" (rect 8 400 25 412)(font "Arial" ))
(port
(pt 0 32)
(input)
131,32 → 131,39
(port
(pt 0 272)
(input)
(text "ctl_reg_sel_ir" (rect 0 0 76 14)(font "Arial" (font_size 8)))
(text "ctl_reg_sel_ir" (rect 21 267 97 281)(font "Arial" (font_size 8)))
(text "ctl_sw_4d" (rect 0 0 60 14)(font "Arial" (font_size 8)))
(text "ctl_sw_4d" (rect 21 267 81 281)(font "Arial" (font_size 8)))
(line (pt 0 272)(pt 16 272))
)
(port
(pt 0 288)
(input)
(text "ctl_reg_sel_pc" (rect 0 0 83 14)(font "Arial" (font_size 8)))
(text "ctl_reg_sel_pc" (rect 21 283 104 297)(font "Arial" (font_size 8)))
(text "ctl_reg_sel_ir" (rect 0 0 76 14)(font "Arial" (font_size 8)))
(text "ctl_reg_sel_ir" (rect 21 283 97 297)(font "Arial" (font_size 8)))
(line (pt 0 288)(pt 16 288))
)
(port
(pt 0 304)
(input)
(text "ctl_reg_not_pc" (rect 0 0 84 14)(font "Arial" (font_size 8)))
(text "ctl_reg_not_pc" (rect 21 299 105 313)(font "Arial" (font_size 8)))
(text "ctl_reg_sel_pc" (rect 0 0 83 14)(font "Arial" (font_size 8)))
(text "ctl_reg_sel_pc" (rect 21 299 104 313)(font "Arial" (font_size 8)))
(line (pt 0 304)(pt 16 304))
)
(port
(pt 0 320)
(input)
(text "ctl_reg_sel_wz" (rect 0 0 88 14)(font "Arial" (font_size 8)))
(text "ctl_reg_sel_wz" (rect 21 315 109 329)(font "Arial" (font_size 8)))
(text "ctl_reg_not_pc" (rect 0 0 84 14)(font "Arial" (font_size 8)))
(text "ctl_reg_not_pc" (rect 21 315 105 329)(font "Arial" (font_size 8)))
(line (pt 0 320)(pt 16 320))
)
(port
(pt 0 336)
(input)
(text "ctl_reg_sel_wz" (rect 0 0 88 14)(font "Arial" (font_size 8)))
(text "ctl_reg_sel_wz" (rect 21 331 109 345)(font "Arial" (font_size 8)))
(line (pt 0 336)(pt 16 336))
)
(port
(pt 264 32)
(output)
(text "reg_gp_we" (rect 0 0 66 14)(font "Arial" (font_size 8)))
285,25 → 292,39
(port
(pt 264 320)
(output)
(text "reg_sel_ir" (rect 0 0 56 14)(font "Arial" (font_size 8)))
(text "reg_sel_ir" (rect 187 315 243 329)(font "Arial" (font_size 8)))
(text "reg_sw_4d_lo" (rect 0 0 82 14)(font "Arial" (font_size 8)))
(text "reg_sw_4d_lo" (rect 161 315 243 329)(font "Arial" (font_size 8)))
(line (pt 264 320)(pt 248 320))
)
(port
(pt 264 336)
(output)
(text "reg_sel_pc" (rect 0 0 63 14)(font "Arial" (font_size 8)))
(text "reg_sel_pc" (rect 180 331 243 345)(font "Arial" (font_size 8)))
(text "reg_sw_4d_hi" (rect 0 0 82 14)(font "Arial" (font_size 8)))
(text "reg_sw_4d_hi" (rect 161 331 243 345)(font "Arial" (font_size 8)))
(line (pt 264 336)(pt 248 336))
)
(port
(pt 264 352)
(output)
(text "reg_sel_wz" (rect 0 0 68 14)(font "Arial" (font_size 8)))
(text "reg_sel_wz" (rect 175 347 243 361)(font "Arial" (font_size 8)))
(text "reg_sel_ir" (rect 0 0 56 14)(font "Arial" (font_size 8)))
(text "reg_sel_ir" (rect 187 347 243 361)(font "Arial" (font_size 8)))
(line (pt 264 352)(pt 248 352))
)
(port
(pt 264 368)
(output)
(text "reg_sel_pc" (rect 0 0 63 14)(font "Arial" (font_size 8)))
(text "reg_sel_pc" (rect 180 363 243 377)(font "Arial" (font_size 8)))
(line (pt 264 368)(pt 248 368))
)
(port
(pt 264 384)
(output)
(text "reg_sel_wz" (rect 0 0 68 14)(font "Arial" (font_size 8)))
(text "reg_sel_wz" (rect 175 379 243 393)(font "Arial" (font_size 8)))
(line (pt 264 384)(pt 248 384))
)
(drawing
(rectangle (rect 16 16 248 368))
(rectangle (rect 16 16 248 400))
)
)
/reg_file.bdf
37,7 → 37,7
)
(pin
(input)
(rect 2672 256 2848 272)
(rect 2688 256 2864 272)
(text "INPUT" (rect 15 6 43 16)(font "Arial" (font_size 6)))
(text "reg_sel_gp_lo" (rect 100 4 167 16)(font "Arial" ))
(pt 0 8)
70,7 → 70,7
)
(pin
(input)
(rect 2672 848 2848 864)
(rect 2688 848 2864 864)
(text "INPUT" (rect 15 0 43 10)(font "Arial" (font_size 6)))
(text "reg_sel_gp_hi" (rect 100 0 167 12)(font "Arial" ))
(pt 0 8)
121,25 → 121,8
)
(pin
(input)
(rect 600 32 616 208)
(rect 664 32 680 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "ctl_sw_4d" (rect 0 9 12 57)(font "Arial" )(vertical))
(pt 8 176)
(drawing
(line (pt 12 92)(pt 12 117))
(line (pt 4 92)(pt 4 117))
(line (pt 8 121)(pt 8 176))
(line (pt 12 92)(pt 4 92))
(line (pt 4 117)(pt 8 121))
(line (pt 12 117)(pt 8 121))
)
(flipy_rotate90)
(text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
(input)
(rect 648 32 664 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "ctl_sw_4u" (rect 0 9 12 57)(font "Arial" )(vertical))
(pt 8 176)
(drawing
155,7 → 138,7
)
(pin
(input)
(rect 752 32 768 208)
(rect 768 32 784 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "reg_sel_wz" (rect 0 9 12 62)(font "Arial" )(vertical))
(pt 8 176)
172,7 → 155,7
)
(pin
(input)
(rect 896 32 912 208)
(rect 912 32 928 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "reg_sel_sp" (rect 0 9 12 62)(font "Arial" )(vertical))
(pt 8 176)
189,7 → 172,7
)
(pin
(input)
(rect 1056 32 1072 208)
(rect 1072 32 1088 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "reg_sel_iy" (rect 0 9 12 59)(font "Arial" )(vertical))
(pt 8 176)
206,7 → 189,7
)
(pin
(input)
(rect 1200 32 1216 208)
(rect 1216 32 1232 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "reg_sel_ix" (rect 0 9 12 58)(font "Arial" )(vertical))
(pt 8 176)
223,7 → 206,7
)
(pin
(input)
(rect 1344 32 1360 208)
(rect 1360 32 1376 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "reg_sel_hl2" (rect 0 9 12 64)(font "Arial" )(vertical))
(pt 8 176)
240,7 → 223,7
)
(pin
(input)
(rect 1488 32 1504 208)
(rect 1504 32 1520 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "reg_sel_hl" (rect 0 9 12 58)(font "Arial" )(vertical))
(pt 8 176)
257,7 → 240,7
)
(pin
(input)
(rect 1632 32 1648 208)
(rect 1648 32 1664 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "reg_sel_de2" (rect 0 9 12 68)(font "Arial" )(vertical))
(pt 8 176)
274,7 → 257,7
)
(pin
(input)
(rect 1776 32 1792 208)
(rect 1792 32 1808 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "reg_sel_de" (rect 0 9 12 62)(font "Arial" )(vertical))
(pt 8 176)
291,7 → 274,7
)
(pin
(input)
(rect 1920 32 1936 208)
(rect 1936 32 1952 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "reg_sel_bc2" (rect 0 9 12 68)(font "Arial" )(vertical))
(pt 8 176)
308,7 → 291,7
)
(pin
(input)
(rect 2064 32 2080 208)
(rect 2080 32 2096 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "reg_sel_bc" (rect 0 9 12 62)(font "Arial" )(vertical))
(pt 8 176)
325,7 → 308,7
)
(pin
(input)
(rect 2208 32 2224 208)
(rect 2224 32 2240 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "reg_sel_af2" (rect 0 9 12 66)(font "Arial" )(vertical))
(pt 8 176)
342,7 → 325,7
)
(pin
(input)
(rect 2352 32 2368 208)
(rect 2368 32 2384 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "reg_sel_af" (rect 0 9 12 60)(font "Arial" )(vertical))
(pt 8 176)
359,7 → 342,7
)
(pin
(input)
(rect 2672 224 2848 240)
(rect 2688 224 2864 240)
(text "INPUT" (rect 15 6 43 16)(font "Arial" (font_size 6)))
(text "reg_gp_we" (rect 116 4 167 16)(font "Arial" ))
(pt 0 8)
408,7 → 391,7
)
(pin
(input)
(rect 2520 32 2536 208)
(rect 2536 32 2552 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "ctl_reg_in_hi" (rect 0 9 12 70)(font "Arial" )(vertical))
(pt 8 176)
425,7 → 408,7
)
(pin
(input)
(rect 2536 32 2552 208)
(rect 2552 32 2568 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "ctl_reg_in_lo" (rect 0 9 12 70)(font "Arial" )(vertical))
(pt 8 176)
442,7 → 425,7
)
(pin
(input)
(rect 2560 32 2576 208)
(rect 2576 32 2592 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "ctl_reg_out_lo" (rect 0 9 12 77)(font "Arial" )(vertical))
(pt 8 176)
459,7 → 442,7
)
(pin
(input)
(rect 2576 32 2592 208)
(rect 2592 32 2608 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "ctl_reg_out_hi" (rect 0 9 12 77)(font "Arial" )(vertical))
(pt 8 176)
491,8 → 474,42
(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
(input)
(rect 616 32 632 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "reg_sw_4d_lo" (rect 0 9 12 75)(font "Arial" )(vertical))
(pt 8 176)
(drawing
(line (pt 12 92)(pt 12 117))
(line (pt 4 92)(pt 4 117))
(line (pt 8 121)(pt 8 176))
(line (pt 12 92)(pt 4 92))
(line (pt 4 117)(pt 8 121))
(line (pt 12 117)(pt 8 121))
)
(flipy_rotate90)
(text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
(input)
(rect 600 32 616 208)
(text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
(text "reg_sw_4d_hi" (rect 0 9 12 75)(font "Arial" )(vertical))
(pt 8 176)
(drawing
(line (pt 12 92)(pt 12 117))
(line (pt 4 92)(pt 4 117))
(line (pt 8 121)(pt 8 176))
(line (pt 12 92)(pt 4 92))
(line (pt 4 117)(pt 8 121))
(line (pt 12 117)(pt 8 121))
)
(flipy_rotate90)
(text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
(bidir)
(rect 2672 352 2848 368)
(rect 2688 352 2864 368)
(text "BIDIR" (rect 1 0 25 10)(font "Arial" (font_size 6)))
(text "db_lo_ds[7..0]" (rect 90 0 159 12)(font "Arial" ))
(pt 0 8)
509,7 → 526,7
)
(pin
(bidir)
(rect 2672 704 2848 720)
(rect 2688 704 2864 720)
(text "BIDIR" (rect 1 0 25 10)(font "Arial" (font_size 6)))
(text "db_hi_ds[7..0]" (rect 90 0 159 12)(font "Arial" ))
(pt 0 8)
589,7 → 606,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
629,7 → 646,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
669,7 → 686,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
709,7 → 726,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
721,7 → 738,7
(rotate90)
)
(symbol
(rect 1104 280 1152 344)
(rect 1120 280 1168 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst20" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
749,7 → 766,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
761,7 → 778,7
(rotate270)
)
(symbol
(rect 1104 728 1152 792)
(rect 1120 728 1168 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst21" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
789,7 → 806,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
801,7 → 818,7
(rotate90)
)
(symbol
(rect 1248 280 1296 344)
(rect 1264 280 1312 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst26" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
829,7 → 846,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
841,7 → 858,7
(rotate270)
)
(symbol
(rect 1248 728 1296 792)
(rect 1264 728 1312 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst27" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
869,7 → 886,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
881,7 → 898,7
(rotate90)
)
(symbol
(rect 1392 280 1440 344)
(rect 1408 280 1456 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst32" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
909,7 → 926,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
921,7 → 938,7
(rotate270)
)
(symbol
(rect 1392 728 1440 792)
(rect 1408 728 1456 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst33" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
949,7 → 966,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
961,7 → 978,7
(rotate90)
)
(symbol
(rect 1536 280 1584 344)
(rect 1552 280 1600 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst38" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
989,7 → 1006,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
1001,7 → 1018,7
(rotate270)
)
(symbol
(rect 1536 728 1584 792)
(rect 1552 728 1600 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst39" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
1029,7 → 1046,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
1041,7 → 1058,7
(rotate90)
)
(symbol
(rect 1680 280 1728 344)
(rect 1696 280 1744 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst44" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
1069,7 → 1086,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
1081,7 → 1098,7
(rotate270)
)
(symbol
(rect 1680 728 1728 792)
(rect 1696 728 1744 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst45" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
1109,7 → 1126,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
1121,7 → 1138,7
(rotate90)
)
(symbol
(rect 1824 280 1872 344)
(rect 1840 280 1888 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst50" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
1149,7 → 1166,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
1161,7 → 1178,7
(rotate270)
)
(symbol
(rect 1824 728 1872 792)
(rect 1840 728 1888 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst51" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
1189,7 → 1206,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
1201,7 → 1218,7
(rotate90)
)
(symbol
(rect 1968 280 2016 344)
(rect 1984 280 2032 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst56" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
1229,7 → 1246,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
1241,7 → 1258,7
(rotate270)
)
(symbol
(rect 1968 728 2016 792)
(rect 1984 728 2032 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst57" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
1269,7 → 1286,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
1281,7 → 1298,7
(rotate90)
)
(symbol
(rect 2112 280 2160 344)
(rect 2128 280 2176 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst62" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
1309,7 → 1326,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
1321,7 → 1338,7
(rotate270)
)
(symbol
(rect 2112 728 2160 792)
(rect 2128 728 2176 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst63" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
1349,7 → 1366,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
1361,7 → 1378,7
(rotate90)
)
(symbol
(rect 2256 280 2304 344)
(rect 2272 280 2320 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst68" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
1389,7 → 1406,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
1401,7 → 1418,7
(rotate270)
)
(symbol
(rect 2256 728 2304 792)
(rect 2272 728 2320 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst69" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
1429,7 → 1446,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
1441,7 → 1458,7
(rotate90)
)
(symbol
(rect 2400 280 2448 344)
(rect 2416 280 2464 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst74" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
1469,7 → 1486,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
1481,7 → 1498,7
(rotate270)
)
(symbol
(rect 2400 728 2448 792)
(rect 2416 728 2464 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst75" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
1509,7 → 1526,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
1521,7 → 1538,7
(rotate90)
)
(symbol
(rect 800 280 848 344)
(rect 816 280 864 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "i2" (rect -1 3 11 11)(font "Arial" )(vertical))
(port
1549,7 → 1566,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
1561,7 → 1578,7
(rotate270)
)
(symbol
(rect 800 728 848 792)
(rect 816 728 864 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "i3" (rect 37 53 49 61)(font "Arial" )(vertical))
(port
1589,7 → 1606,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
1601,7 → 1618,7
(rotate90)
)
(symbol
(rect 944 280 992 344)
(rect 960 280 1008 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "i8" (rect -1 3 11 11)(font "Arial" )(vertical))
(port
1629,7 → 1646,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
1641,7 → 1658,7
(rotate270)
)
(symbol
(rect 944 728 992 792)
(rect 960 728 1008 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "i9" (rect 37 53 49 61)(font "Arial" )(vertical))
(port
1669,7 → 1686,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
1681,7 → 1698,7
(rotate90)
)
(symbol
(rect 1064 400 1160 520)
(rect 1080 400 1176 520)
(text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
(text "latch_iy_lo" (rect 4 8 16 61)(font "Arial" )(vertical))
(port
1719,7 → 1736,7
(fill (color 85 255 127))
)
(symbol
(rect 1064 560 1160 680)
(rect 1080 560 1176 680)
(text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
(text "latch_iy_hi" (rect 4 59 16 112)(font "Arial" )(vertical))
(port
1757,7 → 1774,7
(fill (color 85 255 127))
)
(symbol
(rect 1208 400 1304 520)
(rect 1224 400 1320 520)
(text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
(text "latch_ix_lo" (rect 4 8 16 59)(font "Arial" )(vertical))
(port
1795,7 → 1812,7
(fill (color 85 255 127))
)
(symbol
(rect 1208 560 1304 680)
(rect 1224 560 1320 680)
(text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
(text "latch_ix_hi" (rect 4 61 16 112)(font "Arial" )(vertical))
(port
1833,7 → 1850,7
(fill (color 85 255 127))
)
(symbol
(rect 1352 400 1448 520)
(rect 1368 400 1464 520)
(text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
(text "latch_hl2_lo" (rect 4 8 16 65)(font "Arial" )(vertical))
(port
1871,7 → 1888,7
(fill (color 85 255 127))
)
(symbol
(rect 1496 400 1592 520)
(rect 1512 400 1608 520)
(text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
(text "latch_hl_lo" (rect 4 8 16 59)(font "Arial" )(vertical))
(port
1909,7 → 1926,7
(fill (color 85 255 127))
)
(symbol
(rect 1496 560 1592 680)
(rect 1512 560 1608 680)
(text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
(text "latch_hl_hi" (rect 4 61 16 112)(font "Arial" )(vertical))
(port
1947,7 → 1964,7
(fill (color 85 255 127))
)
(symbol
(rect 1640 400 1736 520)
(rect 1656 400 1752 520)
(text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
(text "latch_de2_lo" (rect 4 8 16 69)(font "Arial" )(vertical))
(port
1985,7 → 2002,7
(fill (color 85 255 127))
)
(symbol
(rect 1640 560 1736 680)
(rect 1656 560 1752 680)
(text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
(text "latch_de2_hi" (rect 4 51 16 112)(font "Arial" )(vertical))
(port
2023,7 → 2040,7
(fill (color 85 255 127))
)
(symbol
(rect 1784 400 1880 520)
(rect 1800 400 1896 520)
(text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
(text "latch_de_lo" (rect 4 8 16 63)(font "Arial" )(vertical))
(port
2061,7 → 2078,7
(fill (color 85 255 127))
)
(symbol
(rect 1784 560 1880 680)
(rect 1800 560 1896 680)
(text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
(text "latch_de_hi" (rect 4 57 16 112)(font "Arial" )(vertical))
(port
2099,7 → 2116,7
(fill (color 85 255 127))
)
(symbol
(rect 1928 400 2024 520)
(rect 1944 400 2040 520)
(text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
(text "latch_bc2_lo" (rect 4 8 16 69)(font "Arial" )(vertical))
(port
2137,7 → 2154,7
(fill (color 85 255 127))
)
(symbol
(rect 1928 560 2024 680)
(rect 1944 560 2040 680)
(text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
(text "latch_bc2_hi" (rect 4 51 16 112)(font "Arial" )(vertical))
(port
2175,7 → 2192,7
(fill (color 85 255 127))
)
(symbol
(rect 2072 400 2168 520)
(rect 2088 400 2184 520)
(text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
(text "latch_bc_lo" (rect 4 8 16 63)(font "Arial" )(vertical))
(port
2213,7 → 2230,7
(fill (color 85 255 127))
)
(symbol
(rect 2072 560 2168 680)
(rect 2088 560 2184 680)
(text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
(text "latch_bc_hi" (rect 4 57 16 112)(font "Arial" )(vertical))
(port
2251,7 → 2268,7
(fill (color 85 255 127))
)
(symbol
(rect 2216 400 2312 520)
(rect 2232 400 2328 520)
(text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
(text "latch_af2_lo" (rect 4 8 16 68)(font "Arial" )(vertical))
(port
2289,7 → 2306,7
(fill (color 85 255 127))
)
(symbol
(rect 2216 560 2312 680)
(rect 2232 560 2328 680)
(text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
(text "latch_af2_hi" (rect 4 52 16 112)(font "Arial" )(vertical))
(port
2327,7 → 2344,7
(fill (color 85 255 127))
)
(symbol
(rect 2360 400 2456 520)
(rect 2376 400 2472 520)
(text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
(text "latch_af_lo" (rect 4 8 16 62)(font "Arial" )(vertical))
(port
2365,7 → 2382,7
(fill (color 85 255 127))
)
(symbol
(rect 2360 560 2456 680)
(rect 2376 560 2472 680)
(text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
(text "latch_af_hi" (rect 4 58 16 112)(font "Arial" )(vertical))
(port
2493,7 → 2510,7
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(line (pt 39 16)(pt 48 16))
)
(drawing
2532,7 → 2549,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
2572,7 → 2589,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
2584,7 → 2601,7
(rotate270)
)
(symbol
(rect 744 280 792 344)
(rect 760 280 808 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst5" (rect -1 3 11 26)(font "Arial" )(vertical))
(port
2612,7 → 2629,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
2624,7 → 2641,7
(rotate270)
)
(symbol
(rect 888 280 936 344)
(rect 904 280 952 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst9" (rect -1 3 11 26)(font "Arial" )(vertical))
(port
2652,7 → 2669,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
2664,7 → 2681,7
(rotate270)
)
(symbol
(rect 1048 280 1096 344)
(rect 1064 280 1112 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst10" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
2692,7 → 2709,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
2704,7 → 2721,7
(rotate270)
)
(symbol
(rect 1192 280 1240 344)
(rect 1208 280 1256 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst13" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
2732,7 → 2749,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
2744,7 → 2761,7
(rotate270)
)
(symbol
(rect 1336 280 1384 344)
(rect 1352 280 1400 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst14" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
2772,7 → 2789,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
2784,7 → 2801,7
(rotate270)
)
(symbol
(rect 1480 280 1528 344)
(rect 1496 280 1544 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst16" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
2812,7 → 2829,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
2824,7 → 2841,7
(rotate270)
)
(symbol
(rect 1624 280 1672 344)
(rect 1640 280 1688 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst17" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
2852,7 → 2869,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
2864,7 → 2881,7
(rotate270)
)
(symbol
(rect 1768 280 1816 344)
(rect 1784 280 1832 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst18" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
2892,7 → 2909,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
2904,7 → 2921,7
(rotate270)
)
(symbol
(rect 1912 280 1960 344)
(rect 1928 280 1976 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst22" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
2932,7 → 2949,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
2944,7 → 2961,7
(rotate270)
)
(symbol
(rect 2056 280 2104 344)
(rect 2072 280 2120 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst23" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
2972,7 → 2989,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
2984,7 → 3001,7
(rotate270)
)
(symbol
(rect 2200 280 2248 344)
(rect 2216 280 2264 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst24" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
3012,7 → 3029,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
3024,7 → 3041,7
(rotate270)
)
(symbol
(rect 2344 280 2392 344)
(rect 2360 280 2408 344)
(text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
(text "inst28" (rect -1 3 11 32)(font "Arial" )(vertical))
(port
3052,7 → 3069,7
(pt 24 64)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 43)(pt 24 64))
)
(drawing
3064,7 → 3081,7
(rotate270)
)
(symbol
(rect 744 728 792 792)
(rect 760 728 808 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst19" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
3092,7 → 3109,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
3104,7 → 3121,7
(rotate90)
)
(symbol
(rect 888 728 936 792)
(rect 904 728 952 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst25" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
3132,7 → 3149,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
3144,7 → 3161,7
(rotate90)
)
(symbol
(rect 1048 728 1096 792)
(rect 1064 728 1112 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst29" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
3172,7 → 3189,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
3184,7 → 3201,7
(rotate90)
)
(symbol
(rect 1192 728 1240 792)
(rect 1208 728 1256 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst30" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
3212,7 → 3229,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
3224,7 → 3241,7
(rotate90)
)
(symbol
(rect 1336 728 1384 792)
(rect 1352 728 1400 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst31" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
3252,7 → 3269,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
3264,7 → 3281,7
(rotate90)
)
(symbol
(rect 1480 728 1528 792)
(rect 1496 728 1544 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst34" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
3292,7 → 3309,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
3304,7 → 3321,7
(rotate90)
)
(symbol
(rect 1624 728 1672 792)
(rect 1640 728 1688 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst35" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
3332,7 → 3349,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
3344,7 → 3361,7
(rotate90)
)
(symbol
(rect 1768 728 1816 792)
(rect 1784 728 1832 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst36" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
3372,7 → 3389,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
3384,7 → 3401,7
(rotate90)
)
(symbol
(rect 1912 728 1960 792)
(rect 1928 728 1976 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst37" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
3412,7 → 3429,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
3424,7 → 3441,7
(rotate90)
)
(symbol
(rect 2056 728 2104 792)
(rect 2072 728 2120 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst40" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
3452,7 → 3469,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
3464,7 → 3481,7
(rotate90)
)
(symbol
(rect 2200 728 2248 792)
(rect 2216 728 2264 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst41" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
3492,7 → 3509,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
3504,7 → 3521,7
(rotate90)
)
(symbol
(rect 2344 728 2392 792)
(rect 2360 728 2408 792)
(text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
(text "inst42" (rect 37 32 49 61)(font "Arial" )(vertical))
(port
3532,7 → 3549,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
3572,7 → 3589,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
3612,7 → 3629,7
(pt 24 0)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
(text "OUT" (rect 15 2 27 19)(font "Courier New" (bold))(vertical)(invisible))
(line (pt 24 21)(pt 24 0))
)
(drawing
3624,7 → 3641,7
(rotate90)
)
(symbol
(rect 632 328 680 360)
(rect 648 328 696 360)
(text "TRI" (rect 1 0 16 10)(font "Arial" (font_size 6)))
(text "tri1" (rect 3 21 18 33)(font "Arial" ))
(port
3645,7 → 3662,7
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(line (pt 32 16)(pt 48 16))
)
(drawing
3655,7 → 3672,7
)
)
(symbol
(rect 632 360 680 392)
(rect 648 360 696 392)
(text "TRI" (rect 32 22 47 32)(font "Arial" (font_size 6)))
(text "tri2" (rect 30 -1 45 11)(font "Arial" ))
(port
3676,7 → 3693,7
(pt 0 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 2 13 16 25)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 2 13 19 25)(font "Courier New" (bold))(invisible))
(line (pt 16 16)(pt 0 16))
)
(drawing
3687,7 → 3704,7
(rotate180)
)
(symbol
(rect 632 680 680 712)
(rect 648 680 696 712)
(text "TRI" (rect 1 0 16 10)(font "Arial" (font_size 6)))
(text "tri3" (rect 3 21 18 33)(font "Arial" ))
(port
3708,7 → 3725,7
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(line (pt 32 16)(pt 48 16))
)
(drawing
3718,7 → 3735,7
)
)
(symbol
(rect 632 712 680 744)
(rect 648 712 696 744)
(text "TRI" (rect 32 22 47 32)(font "Arial" (font_size 6)))
(text "tri4" (rect 30 -1 45 11)(font "Arial" ))
(port
3739,7 → 3756,7
(pt 0 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 2 13 16 25)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 2 13 19 25)(font "Courier New" (bold))(invisible))
(line (pt 16 16)(pt 0 16))
)
(drawing
3750,7 → 3767,7
(rotate180)
)
(symbol
(rect 2600 232 2648 264)
(rect 2616 232 2664 264)
(text "NOT" (rect 27 0 47 10)(font "Arial" (font_size 6)))
(text "inst2" (rect 22 21 45 33)(font "Arial" ))
(port
3764,7 → 3781,7
(pt 0 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 2 7 16 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
(line (pt 9 16)(pt 0 16))
)
(drawing
3776,7 → 3793,7
(flipy)
)
(symbol
(rect 1352 560 1448 680)
(rect 1368 560 1464 680)
(text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
(text "latch_hl2_hi" (rect 4 55 16 112)(font "Arial" )(vertical))
(port
3814,7 → 3831,7
(fill (color 85 255 127))
)
(symbol
(rect 904 400 1000 520)
(rect 920 400 1016 520)
(text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
(text "latch_sp_lo" (rect 4 8 16 63)(font "Arial" )(vertical))
(port
3852,7 → 3869,7
(fill (color 85 255 127))
)
(symbol
(rect 904 560 1000 680)
(rect 920 560 1016 680)
(text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
(text "latch_sp_hi" (rect 4 57 16 112)(font "Arial" )(vertical))
(port
3890,7 → 3907,7
(fill (color 85 255 127))
)
(symbol
(rect 760 400 856 520)
(rect 776 400 872 520)
(text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
(text "latch_wz_lo" (rect 4 8 16 63)(font "Arial" )(vertical))
(port
3928,7 → 3945,7
(fill (color 85 255 127))
)
(symbol
(rect 760 560 856 680)
(rect 776 560 872 680)
(text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
(text "latch_wz_hi" (rect 4 57 16 112)(font "Arial" )(vertical))
(port
3980,7 → 3997,7
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(line (pt 39 16)(pt 48 16))
)
(drawing
3991,7 → 4008,7
)
)
(symbol
(rect 2568 328 2616 360)
(rect 2584 328 2632 360)
(text "TRI" (rect 1 0 16 10)(font "Arial" (font_size 6)))
(text "tri5" (rect 3 21 18 33)(font "Arial" ))
(port
4012,7 → 4029,7
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(line (pt 32 16)(pt 48 16))
)
(drawing
4022,7 → 4039,7
)
)
(symbol
(rect 2568 360 2616 392)
(rect 2584 360 2632 392)
(text "TRI" (rect 32 22 47 32)(font "Arial" (font_size 6)))
(text "tri6" (rect 30 -1 45 11)(font "Arial" ))
(port
4043,7 → 4060,7
(pt 0 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 2 13 16 25)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 2 13 19 25)(font "Courier New" (bold))(invisible))
(line (pt 16 16)(pt 0 16))
)
(drawing
4054,7 → 4071,7
(rotate180)
)
(symbol
(rect 2568 680 2616 712)
(rect 2584 680 2632 712)
(text "TRI" (rect 1 0 16 10)(font "Arial" (font_size 6)))
(text "tri7" (rect 3 21 18 33)(font "Arial" ))
(port
4075,7 → 4092,7
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(line (pt 32 16)(pt 48 16))
)
(drawing
4085,7 → 4102,7
)
)
(symbol
(rect 2568 712 2616 744)
(rect 2584 712 2632 744)
(text "TRI" (rect 32 22 47 32)(font "Arial" (font_size 6)))
(text "tri8" (rect 30 -1 45 11)(font "Arial" ))
(port
4106,7 → 4123,7
(pt 0 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 2 13 16 25)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 2 13 19 25)(font "Courier New" (bold))(invisible))
(line (pt 16 16)(pt 0 16))
)
(drawing
4209,3051 → 4226,2971
(pt 216 824)
)
(connector
(pt 616 344)
(pt 632 344)
(pt 328 696)
(pt 328 728)
)
(connector
(pt 472 696)
(pt 472 728)
)
(connector
(pt 424 360)
(pt 424 528)
(bus)
)
(connector
(pt 616 376)
(pt 632 376)
(pt 568 360)
(pt 568 528)
(bus)
)
(connector
(pt 616 696)
(pt 632 696)
(pt 568 528)
(pt 528 528)
(bus)
)
(connector
(pt 616 728)
(pt 632 728)
(pt 424 712)
(pt 424 544)
(bus)
)
(connector
(pt 656 392)
(pt 656 400)
(pt 568 712)
(pt 568 544)
(bus)
)
(connector
(pt 328 696)
(pt 328 728)
(pt 336 280)
(pt 336 264)
)
(connector
(pt 472 696)
(pt 472 728)
(pt 384 280)
(pt 384 264)
)
(connector
(pt 768 696)
(pt 768 728)
(pt 480 280)
(pt 480 264)
)
(connector
(pt 912 696)
(pt 912 728)
(pt 528 280)
(pt 528 264)
)
(connector
(pt 1072 696)
(pt 1072 728)
(pt 392 280)
(pt 392 248)
)
(connector
(pt 1216 696)
(pt 1216 728)
(pt 536 280)
(pt 536 248)
)
(connector
(pt 1360 696)
(pt 1360 728)
(pt 328 280)
(pt 328 232)
)
(connector
(pt 1504 696)
(pt 1504 728)
(pt 472 280)
(pt 472 232)
)
(connector
(pt 1648 696)
(pt 1648 728)
(pt 376 280)
(pt 376 216)
)
(connector
(pt 1792 696)
(pt 1792 728)
(pt 520 280)
(pt 520 216)
)
(connector
(pt 1936 696)
(pt 1936 728)
(pt 296 808)
(pt 296 216)
)
(connector
(pt 2080 696)
(pt 2080 728)
(pt 440 808)
(pt 440 216)
)
(connector
(pt 2224 696)
(pt 2224 728)
(pt 376 792)
(pt 376 808)
)
(connector
(pt 2368 696)
(pt 2368 728)
(pt 384 792)
(pt 384 856)
)
(connector
(pt 424 360)
(pt 424 528)
(bus)
(pt 392 792)
(pt 392 824)
)
(connector
(pt 568 360)
(pt 568 528)
(bus)
(pt 520 792)
(pt 520 808)
)
(connector
(pt 568 528)
(pt 528 528)
(bus)
(pt 528 792)
(pt 528 856)
)
(connector
(pt 424 712)
(pt 424 544)
(bus)
(pt 536 792)
(pt 536 824)
)
(connector
(pt 568 712)
(pt 568 544)
(bus)
(pt 328 344)
(pt 328 376)
)
(connector
(pt 864 360)
(pt 864 528)
(bus)
(pt 472 344)
(pt 472 376)
)
(connector
(pt 864 712)
(pt 864 544)
(bus)
(pt 320 792)
(pt 320 808)
)
(connector
(pt 968 528)
(pt 1008 528)
(bus)
(pt 328 792)
(pt 328 840)
)
(connector
(pt 1008 360)
(pt 1008 528)
(bus)
(pt 336 792)
(pt 336 856)
)
(connector
(pt 1008 712)
(pt 1008 544)
(bus)
(pt 464 792)
(pt 464 808)
)
(connector
(pt 1128 528)
(pt 1168 528)
(bus)
(pt 472 792)
(pt 472 840)
)
(connector
(pt 1168 360)
(pt 1168 528)
(bus)
(pt 480 792)
(pt 480 856)
)
(connector
(pt 1168 712)
(pt 1168 544)
(bus)
(pt 328 696)
(pt 368 696)
)
(connector
(pt 1272 528)
(pt 1312 528)
(pt 424 544)
(pt 384 544)
(bus)
)
(connector
(pt 1312 360)
(pt 1312 528)
(pt 384 544)
(pt 384 560)
(bus)
)
(connector
(pt 1312 712)
(pt 1312 544)
(pt 424 528)
(pt 384 528)
(bus)
)
(connector
(pt 1416 528)
(pt 1456 528)
(bus)
(pt 328 376)
(pt 368 376)
)
(connector
(pt 1456 360)
(pt 1456 528)
(bus)
(pt 384 344)
(pt 384 400)
)
(connector
(pt 1456 712)
(pt 1456 544)
(bus)
(pt 368 376)
(pt 368 400)
)
(connector
(pt 1560 528)
(pt 1600 528)
(bus)
(pt 472 376)
(pt 512 376)
)
(connector
(pt 1600 360)
(pt 1600 528)
(bus)
(pt 528 344)
(pt 528 400)
)
(connector
(pt 1600 712)
(pt 1600 544)
(bus)
(pt 512 376)
(pt 512 400)
)
(connector
(pt 1704 528)
(pt 1744 528)
(bus)
(pt 472 696)
(pt 512 696)
)
(connector
(pt 1744 360)
(pt 1744 528)
(pt 568 544)
(pt 528 544)
(bus)
)
(connector
(pt 1744 712)
(pt 1744 544)
(pt 528 544)
(pt 528 560)
(bus)
)
(connector
(pt 1848 528)
(pt 1888 528)
(bus)
(pt 320 208)
(pt 320 216)
)
(connector
(pt 1888 360)
(pt 1888 528)
(bus)
(pt 320 216)
(pt 320 280)
)
(connector
(pt 1888 712)
(pt 1888 544)
(bus)
(pt 296 216)
(pt 320 216)
)
(connector
(pt 1992 528)
(pt 2032 528)
(bus)
(pt 320 216)
(pt 376 216)
)
(connector
(pt 2032 360)
(pt 2032 528)
(bus)
(pt 464 208)
(pt 464 216)
)
(connector
(pt 2032 712)
(pt 2032 544)
(bus)
(pt 464 216)
(pt 464 280)
)
(connector
(pt 2136 528)
(pt 2176 528)
(bus)
(pt 440 216)
(pt 464 216)
)
(connector
(pt 2176 360)
(pt 2176 528)
(bus)
(pt 464 216)
(pt 520 216)
)
(connector
(pt 2176 712)
(pt 2176 544)
(bus)
(pt 208 264)
(pt 336 264)
)
(connector
(pt 2280 528)
(pt 2320 528)
(bus)
(pt 336 264)
(pt 384 264)
)
(connector
(pt 2320 360)
(pt 2320 528)
(bus)
(pt 296 808)
(pt 320 808)
)
(connector
(pt 2320 712)
(pt 2320 544)
(bus)
(pt 320 808)
(pt 376 808)
)
(connector
(pt 2424 528)
(pt 2464 528)
(bus)
(pt 440 808)
(pt 464 808)
)
(connector
(pt 2464 360)
(pt 2464 528)
(bus)
(pt 464 808)
(pt 520 808)
)
(connector
(pt 2464 712)
(pt 2464 544)
(bus)
(pt 208 856)
(pt 336 856)
)
(connector
(pt 656 416)
(pt 656 680)
(pt 336 856)
(pt 384 856)
)
(connector
(pt 656 416)
(pt 704 416)
(pt 208 232)
(pt 216 232)
)
(connector
(pt 704 416)
(pt 704 312)
(pt 208 840)
(pt 216 840)
)
(connector
(pt 656 312)
(pt 704 312)
(pt 384 680)
(pt 384 728)
)
(connector
(pt 656 400)
(pt 608 400)
(pt 368 680)
(pt 368 696)
)
(connector
(pt 608 760)
(pt 656 760)
(pt 528 680)
(pt 528 728)
)
(connector
(pt 336 280)
(pt 336 264)
(pt 512 680)
(pt 512 696)
)
(connector
(pt 384 280)
(pt 384 264)
(pt 528 520)
(pt 528 528)
(bus)
)
(connector
(pt 480 280)
(pt 480 264)
(pt 384 520)
(pt 384 528)
(bus)
)
(connector
(pt 528 280)
(pt 528 264)
(pt 352 520)
(pt 352 536)
)
(connector
(pt 776 280)
(pt 776 264)
(pt 352 536)
(pt 352 560)
)
(connector
(pt 824 280)
(pt 824 264)
(pt 672 392)
(pt 672 400)
)
(connector
(pt 920 280)
(pt 920 264)
(pt 784 696)
(pt 784 728)
)
(connector
(pt 968 280)
(pt 968 264)
(pt 928 696)
(pt 928 728)
)
(connector
(pt 1080 280)
(pt 1080 264)
(pt 1088 696)
(pt 1088 728)
)
(connector
(pt 1128 280)
(pt 1128 264)
(pt 1232 696)
(pt 1232 728)
)
(connector
(pt 1224 280)
(pt 1224 264)
(pt 1376 696)
(pt 1376 728)
)
(connector
(pt 1272 280)
(pt 1272 264)
(pt 1520 696)
(pt 1520 728)
)
(connector
(pt 1368 280)
(pt 1368 264)
(pt 1664 696)
(pt 1664 728)
)
(connector
(pt 1416 280)
(pt 1416 264)
(pt 1808 696)
(pt 1808 728)
)
(connector
(pt 1512 280)
(pt 1512 264)
(pt 1952 696)
(pt 1952 728)
)
(connector
(pt 1560 280)
(pt 1560 264)
(pt 2096 696)
(pt 2096 728)
)
(connector
(pt 1656 280)
(pt 1656 264)
(pt 2240 696)
(pt 2240 728)
)
(connector
(pt 1704 280)
(pt 1704 264)
(pt 2384 696)
(pt 2384 728)
)
(connector
(pt 1800 280)
(pt 1800 264)
(pt 880 360)
(pt 880 528)
(bus)
)
(connector
(pt 1848 280)
(pt 1848 264)
(pt 880 712)
(pt 880 544)
(bus)
)
(connector
(pt 1944 280)
(pt 1944 264)
(pt 984 528)
(pt 1024 528)
(bus)
)
(connector
(pt 1992 280)
(pt 1992 264)
(pt 1024 360)
(pt 1024 528)
(bus)
)
(connector
(pt 2088 280)
(pt 2088 264)
(pt 1024 712)
(pt 1024 544)
(bus)
)
(connector
(pt 2136 280)
(pt 2136 264)
(pt 1144 528)
(pt 1184 528)
(bus)
)
(connector
(pt 2232 280)
(pt 2232 264)
(pt 1184 360)
(pt 1184 528)
(bus)
)
(connector
(pt 2280 280)
(pt 2280 264)
(pt 1184 712)
(pt 1184 544)
(bus)
)
(connector
(pt 2376 280)
(pt 2376 264)
(pt 1288 528)
(pt 1328 528)
(bus)
)
(connector
(pt 2424 280)
(pt 2424 264)
(pt 1328 360)
(pt 1328 528)
(bus)
)
(connector
(pt 392 280)
(pt 392 248)
(pt 1328 712)
(pt 1328 544)
(bus)
)
(connector
(pt 536 280)
(pt 536 248)
(pt 1432 528)
(pt 1472 528)
(bus)
)
(connector
(pt 832 280)
(pt 832 248)
(pt 1472 360)
(pt 1472 528)
(bus)
)
(connector
(pt 976 280)
(pt 976 248)
(pt 1472 712)
(pt 1472 544)
(bus)
)
(connector
(pt 1136 280)
(pt 1136 248)
(pt 1576 528)
(pt 1616 528)
(bus)
)
(connector
(pt 1280 280)
(pt 1280 248)
(pt 1616 360)
(pt 1616 528)
(bus)
)
(connector
(pt 1424 280)
(pt 1424 248)
(pt 1616 712)
(pt 1616 544)
(bus)
)
(connector
(pt 1568 280)
(pt 1568 248)
(pt 1720 528)
(pt 1760 528)
(bus)
)
(connector
(pt 1712 280)
(pt 1712 248)
(pt 1760 360)
(pt 1760 528)
(bus)
)
(connector
(pt 1856 280)
(pt 1856 248)
(pt 1760 712)
(pt 1760 544)
(bus)
)
(connector
(pt 2000 280)
(pt 2000 248)
(pt 1864 528)
(pt 1904 528)
(bus)
)
(connector
(pt 2144 280)
(pt 2144 248)
(pt 1904 360)
(pt 1904 528)
(bus)
)
(connector
(pt 2288 280)
(pt 2288 248)
(pt 1904 712)
(pt 1904 544)
(bus)
)
(connector
(pt 2432 280)
(pt 2432 248)
(pt 2008 528)
(pt 2048 528)
(bus)
)
(connector
(pt 2480 824)
(pt 2480 248)
(pt 2048 360)
(pt 2048 528)
(bus)
)
(connector
(pt 328 280)
(pt 328 232)
(pt 2048 712)
(pt 2048 544)
(bus)
)
(connector
(pt 472 280)
(pt 472 232)
(pt 2152 528)
(pt 2192 528)
(bus)
)
(connector
(pt 768 280)
(pt 768 232)
(pt 2192 360)
(pt 2192 528)
(bus)
)
(connector
(pt 912 280)
(pt 912 232)
(pt 2192 712)
(pt 2192 544)
(bus)
)
(connector
(pt 1072 280)
(pt 1072 232)
(pt 2296 528)
(pt 2336 528)
(bus)
)
(connector
(pt 1120 280)
(pt 1120 216)
(pt 2336 360)
(pt 2336 528)
(bus)
)
(connector
(pt 1216 280)
(pt 1216 232)
(pt 2336 712)
(pt 2336 544)
(bus)
)
(connector
(pt 1360 280)
(pt 1360 232)
(pt 2440 528)
(pt 2480 528)
(bus)
)
(connector
(pt 1504 280)
(pt 1504 232)
(pt 2480 360)
(pt 2480 528)
(bus)
)
(connector
(pt 1648 280)
(pt 1648 232)
(pt 2480 712)
(pt 2480 544)
(bus)
)
(connector
(pt 1792 280)
(pt 1792 232)
(pt 672 416)
(pt 672 680)
)
(connector
(pt 1936 280)
(pt 1936 232)
(pt 672 416)
(pt 720 416)
)
(connector
(pt 2080 280)
(pt 2080 232)
(pt 720 416)
(pt 720 312)
)
(connector
(pt 2224 280)
(pt 2224 232)
(pt 672 312)
(pt 720 312)
)
(connector
(pt 2368 280)
(pt 2368 232)
(pt 792 280)
(pt 792 264)
)
(connector
(pt 376 280)
(pt 376 216)
(pt 840 280)
(pt 840 264)
)
(connector
(pt 520 280)
(pt 520 216)
(pt 936 280)
(pt 936 264)
)
(connector
(pt 816 280)
(pt 816 216)
(pt 984 280)
(pt 984 264)
)
(connector
(pt 960 280)
(pt 960 216)
(pt 1096 280)
(pt 1096 264)
)
(connector
(pt 1264 280)
(pt 1264 216)
(pt 1144 280)
(pt 1144 264)
)
(connector
(pt 1408 280)
(pt 1408 216)
(pt 1240 280)
(pt 1240 264)
)
(connector
(pt 1552 280)
(pt 1552 216)
(pt 1288 280)
(pt 1288 264)
)
(connector
(pt 1696 280)
(pt 1696 216)
(pt 1384 280)
(pt 1384 264)
)
(connector
(pt 1840 280)
(pt 1840 216)
(pt 1432 280)
(pt 1432 264)
)
(connector
(pt 1984 280)
(pt 1984 216)
(pt 1528 280)
(pt 1528 264)
)
(connector
(pt 2128 280)
(pt 2128 216)
(pt 1576 280)
(pt 1576 264)
)
(connector
(pt 2272 280)
(pt 2272 216)
(pt 1672 280)
(pt 1672 264)
)
(connector
(pt 2416 280)
(pt 2416 216)
(pt 1720 280)
(pt 1720 264)
)
(connector
(pt 2496 840)
(pt 2496 232)
(pt 1816 280)
(pt 1816 264)
)
(connector
(pt 296 808)
(pt 296 216)
(pt 1864 280)
(pt 1864 264)
)
(connector
(pt 440 808)
(pt 440 216)
(pt 1960 280)
(pt 1960 264)
)
(connector
(pt 736 808)
(pt 736 216)
(pt 2008 280)
(pt 2008 264)
)
(connector
(pt 880 808)
(pt 880 216)
(pt 2104 280)
(pt 2104 264)
)
(connector
(pt 1040 808)
(pt 1040 216)
(pt 2152 280)
(pt 2152 264)
)
(connector
(pt 1184 808)
(pt 1184 216)
(pt 2248 280)
(pt 2248 264)
)
(connector
(pt 1328 808)
(pt 1328 216)
(pt 2296 280)
(pt 2296 264)
)
(connector
(pt 1472 808)
(pt 1472 216)
(pt 2392 280)
(pt 2392 264)
)
(connector
(pt 1616 808)
(pt 1616 216)
(pt 2440 280)
(pt 2440 264)
)
(connector
(pt 1760 808)
(pt 1760 216)
(pt 848 280)
(pt 848 248)
)
(connector
(pt 1904 808)
(pt 1904 216)
(pt 992 280)
(pt 992 248)
)
(connector
(pt 2048 808)
(pt 2048 216)
(pt 1152 280)
(pt 1152 248)
)
(connector
(pt 2192 808)
(pt 2192 216)
(pt 1296 280)
(pt 1296 248)
)
(connector
(pt 2336 808)
(pt 2336 216)
(pt 1440 280)
(pt 1440 248)
)
(connector
(pt 376 792)
(pt 376 808)
(pt 1584 280)
(pt 1584 248)
)
(connector
(pt 384 792)
(pt 384 856)
(pt 1728 280)
(pt 1728 248)
)
(connector
(pt 392 792)
(pt 392 824)
(pt 1872 280)
(pt 1872 248)
)
(connector
(pt 520 792)
(pt 520 808)
(pt 2016 280)
(pt 2016 248)
)
(connector
(pt 528 792)
(pt 528 856)
(pt 2160 280)
(pt 2160 248)
)
(connector
(pt 536 792)
(pt 536 824)
(pt 2304 280)
(pt 2304 248)
)
(connector
(pt 1120 792)
(pt 1120 808)
(pt 2448 280)
(pt 2448 248)
)
(connector
(pt 1128 792)
(pt 1128 856)
(pt 2496 824)
(pt 2496 248)
)
(connector
(pt 1136 792)
(pt 1136 824)
(pt 784 280)
(pt 784 232)
)
(connector
(pt 1264 792)
(pt 1264 808)
(pt 928 280)
(pt 928 232)
)
(connector
(pt 1272 792)
(pt 1272 856)
(pt 1088 280)
(pt 1088 232)
)
(connector
(pt 1280 792)
(pt 1280 824)
(pt 1136 280)
(pt 1136 216)
)
(connector
(pt 1408 792)
(pt 1408 808)
(pt 1232 280)
(pt 1232 232)
)
(connector
(pt 1416 792)
(pt 1416 856)
(pt 1376 280)
(pt 1376 232)
)
(connector
(pt 1424 792)
(pt 1424 824)
(pt 1520 280)
(pt 1520 232)
)
(connector
(pt 1552 792)
(pt 1552 808)
(pt 1664 280)
(pt 1664 232)
)
(connector
(pt 1560 792)
(pt 1560 856)
(pt 1808 280)
(pt 1808 232)
)
(connector
(pt 1568 792)
(pt 1568 824)
(pt 1952 280)
(pt 1952 232)
)
(connector
(pt 1696 792)
(pt 1696 808)
(pt 2096 280)
(pt 2096 232)
)
(connector
(pt 1704 792)
(pt 1704 856)
(pt 2240 280)
(pt 2240 232)
)
(connector
(pt 1712 792)
(pt 1712 824)
(pt 2384 280)
(pt 2384 232)
)
(connector
(pt 1840 792)
(pt 1840 808)
(pt 832 280)
(pt 832 216)
)
(connector
(pt 1848 792)
(pt 1848 856)
(pt 976 280)
(pt 976 216)
)
(connector
(pt 1856 792)
(pt 1856 824)
(pt 1280 280)
(pt 1280 216)
)
(connector
(pt 1984 792)
(pt 1984 808)
(pt 1424 280)
(pt 1424 216)
)
(connector
(pt 1992 792)
(pt 1992 856)
(pt 1568 280)
(pt 1568 216)
)
(connector
(pt 2000 792)
(pt 2000 824)
(pt 1712 280)
(pt 1712 216)
)
(connector
(pt 2128 792)
(pt 2128 808)
(pt 1856 280)
(pt 1856 216)
)
(connector
(pt 2136 792)
(pt 2136 856)
(pt 2000 280)
(pt 2000 216)
)
(connector
(pt 2144 792)
(pt 2144 824)
(pt 2144 280)
(pt 2144 216)
)
(connector
(pt 2272 792)
(pt 2272 808)
(pt 2288 280)
(pt 2288 216)
)
(connector
(pt 2280 792)
(pt 2280 856)
(pt 2432 280)
(pt 2432 216)
)
(connector
(pt 2288 792)
(pt 2288 824)
(pt 2512 840)
(pt 2512 232)
)
(connector
(pt 2416 792)
(pt 2416 808)
(pt 752 808)
(pt 752 216)
)
(connector
(pt 2424 792)
(pt 2424 856)
(pt 896 808)
(pt 896 216)
)
(connector
(pt 2432 792)
(pt 2432 824)
(pt 1056 808)
(pt 1056 216)
)
(connector
(pt 816 792)
(pt 816 808)
(pt 1200 808)
(pt 1200 216)
)
(connector
(pt 824 792)
(pt 824 856)
(pt 1344 808)
(pt 1344 216)
)
(connector
(pt 832 792)
(pt 832 824)
(pt 1488 808)
(pt 1488 216)
)
(connector
(pt 960 792)
(pt 960 808)
(pt 1632 808)
(pt 1632 216)
)
(connector
(pt 968 792)
(pt 968 856)
(pt 1776 808)
(pt 1776 216)
)
(connector
(pt 976 792)
(pt 976 824)
(pt 1920 808)
(pt 1920 216)
)
(connector
(pt 328 344)
(pt 328 376)
(pt 2064 808)
(pt 2064 216)
)
(connector
(pt 472 344)
(pt 472 376)
(pt 2208 808)
(pt 2208 216)
)
(connector
(pt 768 344)
(pt 768 376)
(pt 2352 808)
(pt 2352 216)
)
(connector
(pt 912 344)
(pt 912 376)
(pt 1136 792)
(pt 1136 808)
)
(connector
(pt 1072 344)
(pt 1072 376)
(pt 1144 792)
(pt 1144 856)
)
(connector
(pt 1216 344)
(pt 1216 376)
(pt 1152 792)
(pt 1152 824)
)
(connector
(pt 1360 344)
(pt 1360 376)
(pt 1280 792)
(pt 1280 808)
)
(connector
(pt 1504 344)
(pt 1504 376)
(pt 1288 792)
(pt 1288 856)
)
(connector
(pt 1648 344)
(pt 1648 376)
(pt 1296 792)
(pt 1296 824)
)
(connector
(pt 1792 344)
(pt 1792 376)
(pt 1424 792)
(pt 1424 808)
)
(connector
(pt 1936 344)
(pt 1936 376)
(pt 1432 792)
(pt 1432 856)
)
(connector
(pt 2080 344)
(pt 2080 376)
(pt 1440 792)
(pt 1440 824)
)
(connector
(pt 2224 344)
(pt 2224 376)
(pt 1568 792)
(pt 1568 808)
)
(connector
(pt 2368 344)
(pt 2368 376)
(pt 1576 792)
(pt 1576 856)
)
(connector
(pt 760 792)
(pt 760 808)
(pt 1584 792)
(pt 1584 824)
)
(connector
(pt 768 792)
(pt 768 840)
(pt 1712 792)
(pt 1712 808)
)
(connector
(pt 776 792)
(pt 776 856)
(pt 1720 792)
(pt 1720 856)
)
(connector
(pt 904 792)
(pt 904 808)
(pt 1728 792)
(pt 1728 824)
)
(connector
(pt 912 792)
(pt 912 840)
(pt 1856 792)
(pt 1856 808)
)
(connector
(pt 920 792)
(pt 920 856)
(pt 1864 792)
(pt 1864 856)
)
(connector
(pt 1064 792)
(pt 1064 808)
(pt 1872 792)
(pt 1872 824)
)
(connector
(pt 1072 792)
(pt 1072 840)
(pt 2000 792)
(pt 2000 808)
)
(connector
(pt 1080 792)
(pt 1080 856)
(pt 2008 792)
(pt 2008 856)
)
(connector
(pt 1208 792)
(pt 1208 808)
(pt 2016 792)
(pt 2016 824)
)
(connector
(pt 1216 792)
(pt 1216 840)
(pt 2144 792)
(pt 2144 808)
)
(connector
(pt 1224 792)
(pt 1224 856)
(pt 2152 792)
(pt 2152 856)
)
(connector
(pt 1352 792)
(pt 1352 808)
(pt 2160 792)
(pt 2160 824)
)
(connector
(pt 1360 792)
(pt 1360 840)
(pt 2288 792)
(pt 2288 808)
)
(connector
(pt 1368 792)
(pt 1368 856)
(pt 2296 792)
(pt 2296 856)
)
(connector
(pt 1496 792)
(pt 1496 808)
(pt 2304 792)
(pt 2304 824)
)
(connector
(pt 1504 792)
(pt 1504 840)
(pt 2432 792)
(pt 2432 808)
)
(connector
(pt 1512 792)
(pt 1512 856)
(pt 2440 792)
(pt 2440 856)
)
(connector
(pt 1640 792)
(pt 1640 808)
(pt 2448 792)
(pt 2448 824)
)
(connector
(pt 1648 792)
(pt 1648 840)
(pt 832 792)
(pt 832 808)
)
(connector
(pt 1656 792)
(pt 1656 856)
(pt 840 792)
(pt 840 856)
)
(connector
(pt 1784 792)
(pt 1784 808)
(pt 848 792)
(pt 848 824)
)
(connector
(pt 1792 792)
(pt 1792 840)
(pt 976 792)
(pt 976 808)
)
(connector
(pt 1800 792)
(pt 1800 856)
(pt 984 792)
(pt 984 856)
)
(connector
(pt 1928 792)
(pt 1928 808)
(pt 992 792)
(pt 992 824)
)
(connector
(pt 1936 792)
(pt 1936 840)
(pt 784 344)
(pt 784 376)
)
(connector
(pt 1944 792)
(pt 1944 856)
(pt 928 344)
(pt 928 376)
)
(connector
(pt 2072 792)
(pt 2072 808)
(pt 1088 344)
(pt 1088 376)
)
(connector
(pt 2080 792)
(pt 2080 840)
(pt 1232 344)
(pt 1232 376)
)
(connector
(pt 2088 792)
(pt 2088 856)
(pt 1376 344)
(pt 1376 376)
)
(connector
(pt 2216 792)
(pt 2216 808)
(pt 1520 344)
(pt 1520 376)
)
(connector
(pt 2224 792)
(pt 2224 840)
(pt 1664 344)
(pt 1664 376)
)
(connector
(pt 2232 792)
(pt 2232 856)
(pt 1808 344)
(pt 1808 376)
)
(connector
(pt 2360 792)
(pt 2360 808)
(pt 1952 344)
(pt 1952 376)
)
(connector
(pt 2368 792)
(pt 2368 840)
(pt 2096 344)
(pt 2096 376)
)
(connector
(pt 2376 792)
(pt 2376 856)
(pt 2240 344)
(pt 2240 376)
)
(connector
(pt 320 792)
(pt 320 808)
(pt 2384 344)
(pt 2384 376)
)
(connector
(pt 328 792)
(pt 328 840)
(pt 776 792)
(pt 776 808)
)
(connector
(pt 336 792)
(pt 336 856)
(pt 784 792)
(pt 784 840)
)
(connector
(pt 464 792)
(pt 464 808)
(pt 792 792)
(pt 792 856)
)
(connector
(pt 472 792)
(pt 472 840)
(pt 920 792)
(pt 920 808)
)
(connector
(pt 480 792)
(pt 480 856)
(pt 928 792)
(pt 928 840)
)
(connector
(pt 656 744)
(pt 656 760)
(pt 936 792)
(pt 936 856)
)
(connector
(pt 328 696)
(pt 368 696)
(pt 1080 792)
(pt 1080 808)
)
(connector
(pt 424 544)
(pt 384 544)
(bus)
(pt 1088 792)
(pt 1088 840)
)
(connector
(pt 384 544)
(pt 384 560)
(bus)
(pt 1096 792)
(pt 1096 856)
)
(connector
(pt 424 528)
(pt 384 528)
(bus)
(pt 1224 792)
(pt 1224 808)
)
(connector
(pt 328 376)
(pt 368 376)
(pt 1232 792)
(pt 1232 840)
)
(connector
(pt 384 344)
(pt 384 400)
(pt 1240 792)
(pt 1240 856)
)
(connector
(pt 368 376)
(pt 368 400)
(pt 1368 792)
(pt 1368 808)
)
(connector
(pt 472 376)
(pt 512 376)
(pt 1376 792)
(pt 1376 840)
)
(connector
(pt 528 344)
(pt 528 400)
(pt 1384 792)
(pt 1384 856)
)
(connector
(pt 512 376)
(pt 512 400)
(pt 1512 792)
(pt 1512 808)
)
(connector
(pt 472 696)
(pt 512 696)
(pt 1520 792)
(pt 1520 840)
)
(connector
(pt 568 544)
(pt 528 544)
(bus)
(pt 1528 792)
(pt 1528 856)
)
(connector
(pt 528 544)
(pt 528 560)
(bus)
(pt 1656 792)
(pt 1656 808)
)
(connector
(pt 864 528)
(pt 824 528)
(bus)
(pt 1664 792)
(pt 1664 840)
)
(connector
(pt 768 376)
(pt 808 376)
(pt 1672 792)
(pt 1672 856)
)
(connector
(pt 824 344)
(pt 824 400)
(pt 1800 792)
(pt 1800 808)
)
(connector
(pt 808 376)
(pt 808 400)
(pt 1808 792)
(pt 1808 840)
)
(connector
(pt 912 376)
(pt 952 376)
(pt 1816 792)
(pt 1816 856)
)
(connector
(pt 968 344)
(pt 968 400)
(pt 1944 792)
(pt 1944 808)
)
(connector
(pt 952 376)
(pt 952 400)
(pt 1952 792)
(pt 1952 840)
)
(connector
(pt 1072 376)
(pt 1112 376)
(pt 1960 792)
(pt 1960 856)
)
(connector
(pt 1128 344)
(pt 1128 400)
(pt 2088 792)
(pt 2088 808)
)
(connector
(pt 1112 376)
(pt 1112 400)
(pt 2096 792)
(pt 2096 840)
)
(connector
(pt 1216 376)
(pt 1256 376)
(pt 2104 792)
(pt 2104 856)
)
(connector
(pt 1272 344)
(pt 1272 400)
(pt 2232 792)
(pt 2232 808)
)
(connector
(pt 1256 376)
(pt 1256 400)
(pt 2240 792)
(pt 2240 840)
)
(connector
(pt 1360 376)
(pt 1400 376)
(pt 2248 792)
(pt 2248 856)
)
(connector
(pt 1416 344)
(pt 1416 400)
(pt 2376 792)
(pt 2376 808)
)
(connector
(pt 1400 376)
(pt 1400 400)
(pt 2384 792)
(pt 2384 840)
)
(connector
(pt 1504 376)
(pt 1544 376)
(pt 2392 792)
(pt 2392 856)
)
(connector
(pt 1560 344)
(pt 1560 400)
(pt 672 744)
(pt 672 760)
)
(connector
(pt 1544 376)
(pt 1544 400)
(pt 880 528)
(pt 840 528)
(bus)
)
(connector
(pt 1648 376)
(pt 1688 376)
(pt 784 376)
(pt 824 376)
)
(connector
(pt 1704 344)
(pt 1704 400)
(pt 840 344)
(pt 840 400)
)
(connector
(pt 1688 376)
(pt 1688 400)
(pt 824 376)
(pt 824 400)
)
(connector
(pt 1792 376)
(pt 1832 376)
(pt 928 376)
(pt 968 376)
)
(connector
(pt 1848 344)
(pt 1848 400)
(pt 984 344)
(pt 984 400)
)
(connector
(pt 1832 376)
(pt 1832 400)
(pt 968 376)
(pt 968 400)
)
(connector
(pt 1936 376)
(pt 1976 376)
(pt 1088 376)
(pt 1128 376)
)
(connector
(pt 1992 344)
(pt 1992 400)
(pt 1144 344)
(pt 1144 400)
)
(connector
(pt 1976 376)
(pt 1976 400)
(pt 1128 376)
(pt 1128 400)
)
(connector
(pt 2080 376)
(pt 2120 376)
(pt 1232 376)
(pt 1272 376)
)
(connector
(pt 2136 344)
(pt 2136 400)
(pt 1288 344)
(pt 1288 400)
)
(connector
(pt 2120 376)
(pt 2120 400)
(pt 1272 376)
(pt 1272 400)
)
(connector
(pt 2224 376)
(pt 2264 376)
(pt 1376 376)
(pt 1416 376)
)
(connector
(pt 2280 344)
(pt 2280 400)
(pt 1432 344)
(pt 1432 400)
)
(connector
(pt 2264 376)
(pt 2264 400)
(pt 1416 376)
(pt 1416 400)
)
(connector
(pt 2368 376)
(pt 2408 376)
(pt 1520 376)
(pt 1560 376)
)
(connector
(pt 2424 344)
(pt 2424 400)
(pt 1576 344)
(pt 1576 400)
)
(connector
(pt 2408 376)
(pt 2408 400)
(pt 1560 376)
(pt 1560 400)
)
(connector
(pt 2368 696)
(pt 2408 696)
(pt 1664 376)
(pt 1704 376)
)
(connector
(pt 2464 544)
(pt 2424 544)
(bus)
(pt 1720 344)
(pt 1720 400)
)
(connector
(pt 2424 544)
(pt 2424 560)
(bus)
(pt 1704 376)
(pt 1704 400)
)
(connector
(pt 2224 696)
(pt 2264 696)
(pt 1808 376)
(pt 1848 376)
)
(connector
(pt 2320 544)
(pt 2280 544)
(bus)
(pt 1864 344)
(pt 1864 400)
)
(connector
(pt 2280 544)
(pt 2280 560)
(bus)
(pt 1848 376)
(pt 1848 400)
)
(connector
(pt 2080 696)
(pt 2120 696)
(pt 1952 376)
(pt 1992 376)
)
(connector
(pt 2176 544)
(pt 2136 544)
(bus)
(pt 2008 344)
(pt 2008 400)
)
(connector
(pt 2136 544)
(pt 2136 560)
(bus)
(pt 1992 376)
(pt 1992 400)
)
(connector
(pt 1936 696)
(pt 1976 696)
(pt 2096 376)
(pt 2136 376)
)
(connector
(pt 2032 544)
(pt 1992 544)
(bus)
(pt 2152 344)
(pt 2152 400)
)
(connector
(pt 1992 544)
(pt 1992 560)
(bus)
(pt 2136 376)
(pt 2136 400)
)
(connector
(pt 1792 696)
(pt 1832 696)
(pt 2240 376)
(pt 2280 376)
)
(connector
(pt 1888 544)
(pt 1848 544)
(bus)
(pt 2296 344)
(pt 2296 400)
)
(connector
(pt 1848 544)
(pt 1848 560)
(bus)
(pt 2280 376)
(pt 2280 400)
)
(connector
(pt 1648 696)
(pt 1688 696)
(pt 2384 376)
(pt 2424 376)
)
(connector
(pt 1744 544)
(pt 1704 544)
(bus)
(pt 2440 344)
(pt 2440 400)
)
(connector
(pt 1704 544)
(pt 1704 560)
(bus)
(pt 2424 376)
(pt 2424 400)
)
(connector
(pt 1504 696)
(pt 1544 696)
(pt 2384 696)
(pt 2424 696)
)
(connector
(pt 1600 544)
(pt 1560 544)
(pt 2480 544)
(pt 2440 544)
(bus)
)
(connector
(pt 1560 544)
(pt 1560 560)
(pt 2440 544)
(pt 2440 560)
(bus)
)
(connector
(pt 1360 696)
(pt 1400 696)
(pt 2240 696)
(pt 2280 696)
)
(connector
(pt 1456 544)
(pt 1416 544)
(pt 2336 544)
(pt 2296 544)
(bus)
)
(connector
(pt 1416 544)
(pt 1416 560)
(pt 2296 544)
(pt 2296 560)
(bus)
)
(connector
(pt 1216 696)
(pt 1256 696)
(pt 2096 696)
(pt 2136 696)
)
(connector
(pt 1312 544)
(pt 1272 544)
(pt 2192 544)
(pt 2152 544)
(bus)
)
(connector
(pt 1272 544)
(pt 1272 560)
(pt 2152 544)
(pt 2152 560)
(bus)
)
(connector
(pt 1072 696)
(pt 1112 696)
(pt 1952 696)
(pt 1992 696)
)
(connector
(pt 1168 544)
(pt 1128 544)
(pt 2048 544)
(pt 2008 544)
(bus)
)
(connector
(pt 1128 544)
(pt 1128 560)
(pt 2008 544)
(pt 2008 560)
(bus)
)
(connector
(pt 912 696)
(pt 952 696)
(pt 1808 696)
(pt 1848 696)
)
(connector
(pt 1008 544)
(pt 968 544)
(pt 1904 544)
(pt 1864 544)
(bus)
)
(connector
(pt 968 544)
(pt 968 560)
(pt 1864 544)
(pt 1864 560)
(bus)
)
(connector
(pt 768 696)
(pt 808 696)
(pt 1664 696)
(pt 1704 696)
)
(connector
(pt 864 544)
(pt 824 544)
(pt 1760 544)
(pt 1720 544)
(bus)
)
(connector
(pt 824 544)
(pt 824 560)
(pt 1720 544)
(pt 1720 560)
(bus)
)
(connector
(pt 920 264)
(pt 968 264)
(pt 1520 696)
(pt 1560 696)
)
(connector
(pt 968 264)
(pt 1080 264)
(pt 1616 544)
(pt 1576 544)
(bus)
)
(connector
(pt 1080 264)
(pt 1128 264)
(pt 1576 544)
(pt 1576 560)
(bus)
)
(connector
(pt 1128 264)
(pt 1224 264)
(pt 1376 696)
(pt 1416 696)
)
(connector
(pt 1224 264)
(pt 1272 264)
(pt 1472 544)
(pt 1432 544)
(bus)
)
(connector
(pt 1272 264)
(pt 1368 264)
(pt 1432 544)
(pt 1432 560)
(bus)
)
(connector
(pt 1368 264)
(pt 1416 264)
(pt 1232 696)
(pt 1272 696)
)
(connector
(pt 1416 264)
(pt 1512 264)
(pt 1328 544)
(pt 1288 544)
(bus)
)
(connector
(pt 1512 264)
(pt 1560 264)
(pt 1288 544)
(pt 1288 560)
(bus)
)
(connector
(pt 1560 264)
(pt 1656 264)
(pt 1088 696)
(pt 1128 696)
)
(connector
(pt 976 248)
(pt 1136 248)
(pt 1184 544)
(pt 1144 544)
(bus)
)
(connector
(pt 1136 248)
(pt 1280 248)
(pt 1144 544)
(pt 1144 560)
(bus)
)
(connector
(pt 1280 248)
(pt 1424 248)
(pt 928 696)
(pt 968 696)
)
(connector
(pt 1424 248)
(pt 1568 248)
(pt 1024 544)
(pt 984 544)
(bus)
)
(connector
(pt 1568 248)
(pt 1712 248)
(pt 984 544)
(pt 984 560)
(bus)
)
(connector
(pt 1712 248)
(pt 1856 248)
(pt 784 696)
(pt 824 696)
)
(connector
(pt 1856 248)
(pt 2000 248)
(pt 880 544)
(pt 840 544)
(bus)
)
(connector
(pt 2000 248)
(pt 2144 248)
(pt 840 544)
(pt 840 560)
(bus)
)
(connector
(pt 2144 248)
(pt 2288 248)
(pt 696 344)
(pt 712 344)
(bus)
)
(connector
(pt 2288 248)
(pt 2432 248)
(pt 696 376)
(pt 712 376)
(bus)
)
(connector
(pt 320 208)
(pt 320 216)
(pt 696 696)
(pt 712 696)
(bus)
)
(connector
(pt 320 216)
(pt 320 280)
(pt 696 728)
(pt 712 728)
(bus)
)
(connector
(pt 296 216)
(pt 320 216)
(pt 2664 248)
(pt 2672 248)
)
(connector
(pt 320 216)
(pt 376 216)
(pt 2672 248)
(pt 2672 232)
)
(connector
(pt 464 208)
(pt 464 216)
(pt 2608 392)
(pt 2608 400)
)
(connector
(pt 464 216)
(pt 464 280)
(pt 2608 416)
(pt 2608 680)
)
(connector
(pt 440 216)
(pt 464 216)
(pt 2608 744)
(pt 2608 760)
)
(connector
(pt 464 216)
(pt 520 216)
(pt 2632 344)
(pt 2648 344)
(bus)
)
(connector
(pt 760 208)
(pt 760 216)
(pt 2632 376)
(pt 2648 376)
(bus)
)
(connector
(pt 760 216)
(pt 760 280)
(pt 2632 696)
(pt 2648 696)
(bus)
)
(connector
(pt 736 216)
(pt 760 216)
(pt 2632 728)
(pt 2648 728)
(bus)
)
(connector
(pt 760 216)
(pt 816 216)
(pt 2608 416)
(pt 2656 416)
)
(connector
(pt 904 208)
(pt 904 216)
(pt 2608 760)
(pt 2544 760)
)
(connector
(pt 904 216)
(pt 904 280)
(pt 2560 400)
(pt 2608 400)
)
(connector
(pt 880 216)
(pt 904 216)
(pt 2608 328)
(pt 2608 320)
)
(connector
(pt 904 216)
(pt 960 216)
(pt 2608 320)
(pt 2584 320)
)
(connector
(pt 1064 208)
(pt 1064 216)
(pt 2656 304)
(pt 2656 416)
)
(connector
(pt 1064 216)
(pt 1064 280)
(pt 2600 304)
(pt 2656 304)
)
(connector
(pt 1040 216)
(pt 1064 216)
(pt 984 520)
(pt 984 528)
(bus)
)
(connector
(pt 1064 216)
(pt 1120 216)
(pt 1144 520)
(pt 1144 528)
(bus)
)
(connector
(pt 1208 208)
(pt 1208 216)
(pt 1288 520)
(pt 1288 528)
(bus)
)
(connector
(pt 1208 216)
(pt 1208 280)
(pt 1432 520)
(pt 1432 528)
(bus)
)
(connector
(pt 1184 216)
(pt 1208 216)
(pt 1576 520)
(pt 1576 528)
(bus)
)
(connector
(pt 1208 216)
(pt 1264 216)
(pt 1720 520)
(pt 1720 528)
(bus)
)
(connector
(pt 1352 208)
(pt 1352 216)
(pt 1864 520)
(pt 1864 528)
(bus)
)
(connector
(pt 1352 216)
(pt 1352 280)
(pt 2008 520)
(pt 2008 528)
(bus)
)
(connector
(pt 1328 216)
(pt 1352 216)
(pt 2152 520)
(pt 2152 528)
(bus)
)
(connector
(pt 1352 216)
(pt 1408 216)
(pt 2296 520)
(pt 2296 528)
(bus)
)
(connector
(pt 1496 208)
(pt 1496 216)
(pt 2440 520)
(pt 2440 528)
(bus)
)
(connector
(pt 1496 216)
(pt 1496 280)
(pt 840 528)
(pt 840 520)
(bus)
)
(connector
(pt 1472 216)
(pt 1496 216)
(pt 2440 680)
(pt 2440 728)
)
(connector
(pt 1496 216)
(pt 1552 216)
(pt 2424 680)
(pt 2424 696)
)
(connector
(pt 1640 208)
(pt 1640 216)
(pt 2296 680)
(pt 2296 728)
)
(connector
(pt 1640 216)
(pt 1640 280)
(pt 2280 680)
(pt 2280 696)
)
(connector
(pt 1616 216)
(pt 1640 216)
(pt 2152 680)
(pt 2152 728)
)
(connector
(pt 1640 216)
(pt 1696 216)
(pt 2136 680)
(pt 2136 696)
)
(connector
(pt 1784 208)
(pt 1784 216)
(pt 2008 680)
(pt 2008 728)
)
(connector
(pt 1784 216)
(pt 1784 280)
(pt 1992 680)
(pt 1992 696)
)
(connector
(pt 1760 216)
(pt 1784 216)
(pt 1864 680)
(pt 1864 728)
)
(connector
(pt 1784 216)
(pt 1840 216)
(pt 1848 680)
(pt 1848 696)
)
(connector
(pt 1928 208)
(pt 1928 216)
(pt 1720 680)
(pt 1720 728)
)
(connector
(pt 1928 216)
(pt 1928 280)
(pt 1704 680)
(pt 1704 696)
)
(connector
(pt 1904 216)
(pt 1928 216)
(pt 1576 680)
(pt 1576 728)
)
(connector
(pt 1928 216)
(pt 1984 216)
(pt 1560 680)
(pt 1560 696)
)
(connector
(pt 2072 208)
(pt 2072 216)
(pt 1432 680)
(pt 1432 728)
)
(connector
(pt 2072 216)
(pt 2072 280)
(pt 1416 680)
(pt 1416 696)
)
(connector
(pt 2048 216)
(pt 2072 216)
(pt 1288 680)
(pt 1288 728)
)
(connector
(pt 2072 216)
(pt 2128 216)
(pt 1272 680)
(pt 1272 696)
)
(connector
(pt 2216 208)
(pt 2216 216)
(pt 1144 680)
(pt 1144 728)
)
(connector
(pt 2216 216)
(pt 2216 280)
(pt 1128 680)
(pt 1128 696)
)
(connector
(pt 2192 216)
(pt 2216 216)
(pt 984 680)
(pt 984 728)
)
(connector
(pt 2216 216)
(pt 2272 216)
(pt 968 680)
(pt 968 696)
)
(connector
(pt 2360 208)
(pt 2360 216)
(pt 840 680)
(pt 840 728)
)
(connector
(pt 2360 216)
(pt 2360 280)
(pt 824 680)
(pt 824 696)
)
(connector
(pt 2336 216)
(pt 2360 216)
(pt 2584 208)
(pt 2584 320)
)
(connector
(pt 2360 216)
(pt 2416 216)
(pt 2600 208)
(pt 2600 304)
)
(connector
(pt 208 264)
(pt 336 264)
(pt 2544 208)
(pt 2544 760)
)
(connector
(pt 336 264)
(pt 384 264)
(pt 2560 208)
(pt 2560 400)
)
(connector
(pt 384 264)
(pt 480 264)
(pt 936 264)
(pt 984 264)
)
(connector
(pt 480 264)
(pt 528 264)
(pt 984 264)
(pt 1096 264)
)
(connector
(pt 528 264)
(pt 776 264)
(pt 1096 264)
(pt 1144 264)
)
(connector
(pt 776 264)
(pt 824 264)
(pt 1144 264)
(pt 1240 264)
)
(connector
(pt 208 360)
(pt 424 360)
(bus)
(pt 1240 264)
(pt 1288 264)
)
(connector
(pt 616 344)
(pt 616 360)
(bus)
(pt 1288 264)
(pt 1384 264)
)
(connector
(pt 616 360)
(pt 616 376)
(bus)
(pt 1384 264)
(pt 1432 264)
)
(connector
(pt 424 360)
(pt 568 360)
(bus)
(pt 1432 264)
(pt 1528 264)
)
(connector
(pt 568 360)
(pt 616 360)
(bus)
(pt 992 248)
(pt 1152 248)
)
(connector
(pt 208 712)
(pt 424 712)
(bus)
(pt 1152 248)
(pt 1296 248)
)
(connector
(pt 616 696)
(pt 616 712)
(bus)
(pt 1296 248)
(pt 1440 248)
)
(connector
(pt 616 712)
(pt 616 728)
(bus)
(pt 1440 248)
(pt 1584 248)
)
(connector
(pt 424 712)
(pt 568 712)
(bus)
(pt 1584 248)
(pt 1728 248)
)
(connector
(pt 568 712)
(pt 616 712)
(bus)
(pt 1728 248)
(pt 1872 248)
)
(connector
(pt 976 824)
(pt 1136 824)
(pt 1872 248)
(pt 2016 248)
)
(connector
(pt 1136 824)
(pt 1280 824)
(pt 2016 248)
(pt 2160 248)
)
(connector
(pt 1280 824)
(pt 1424 824)
(pt 776 208)
(pt 776 216)
)
(connector
(pt 1424 824)
(pt 1568 824)
(pt 776 216)
(pt 776 280)
)
(connector
(pt 1568 824)
(pt 1712 824)
(pt 752 216)
(pt 776 216)
)
(connector
(pt 1712 824)
(pt 1856 824)
(pt 776 216)
(pt 832 216)
)
(connector
(pt 1856 824)
(pt 2000 824)
(pt 920 208)
(pt 920 216)
)
(connector
(pt 2000 824)
(pt 2144 824)
(pt 920 216)
(pt 920 280)
)
(connector
(pt 2144 824)
(pt 2288 824)
(pt 896 216)
(pt 920 216)
)
(connector
(pt 2288 824)
(pt 2432 824)
(pt 920 216)
(pt 976 216)
)
(connector
(pt 2432 824)
(pt 2480 824)
(pt 1080 208)
(pt 1080 216)
)
(connector
(pt 736 808)
(pt 760 808)
(pt 1080 216)
(pt 1080 280)
)
(connector
(pt 760 808)
(pt 816 808)
(pt 1056 216)
(pt 1080 216)
)
(connector
(pt 880 808)
(pt 904 808)
(pt 1080 216)
(pt 1136 216)
)
(connector
(pt 904 808)
(pt 960 808)
(pt 1224 208)
(pt 1224 216)
)
(connector
(pt 1040 808)
(pt 1064 808)
(pt 1224 216)
(pt 1224 280)
)
(connector
(pt 1064 808)
(pt 1120 808)
(pt 1200 216)
(pt 1224 216)
)
(connector
(pt 1184 808)
(pt 1208 808)
(pt 1224 216)
(pt 1280 216)
)
(connector
(pt 1208 808)
(pt 1264 808)
(pt 1368 208)
(pt 1368 216)
)
(connector
(pt 920 856)
(pt 968 856)
(pt 1368 216)
(pt 1368 280)
)
(connector
(pt 968 856)
(pt 1080 856)
(pt 1344 216)
(pt 1368 216)
)
(connector
(pt 1328 808)
(pt 1352 808)
(pt 1368 216)
(pt 1424 216)
)
(connector
(pt 1352 808)
(pt 1408 808)
(pt 1512 208)
(pt 1512 216)
)
(connector
(pt 1080 856)
(pt 1128 856)
(pt 1512 216)
(pt 1512 280)
)
(connector
(pt 1128 856)
(pt 1224 856)
(pt 1488 216)
(pt 1512 216)
)
(connector
(pt 1472 808)
(pt 1496 808)
(pt 1512 216)
(pt 1568 216)
)
(connector
(pt 1496 808)
(pt 1552 808)
(pt 1656 208)
(pt 1656 216)
)
(connector
(pt 912 840)
(pt 1072 840)
(pt 1656 216)
(pt 1656 280)
)
(connector
(pt 1224 856)
(pt 1272 856)
(pt 1632 216)
(pt 1656 216)
)
(connector
(pt 1272 856)
(pt 1368 856)
(pt 1656 216)
(pt 1712 216)
)
(connector
(pt 1616 808)
(pt 1640 808)
(pt 1800 208)
(pt 1800 216)
)
(connector
(pt 1640 808)
(pt 1696 808)
(pt 1800 216)
(pt 1800 280)
)
(connector
(pt 1072 840)
(pt 1216 840)
(pt 1776 216)
(pt 1800 216)
)
(connector
(pt 1368 856)
(pt 1416 856)
(pt 1800 216)
(pt 1856 216)
)
(connector
(pt 1416 856)
(pt 1512 856)
(pt 1944 208)
(pt 1944 216)
)
(connector
(pt 1760 808)
(pt 1784 808)
(pt 1944 216)
(pt 1944 280)
)
(connector
(pt 1784 808)
(pt 1840 808)
(pt 1920 216)
(pt 1944 216)
)
(connector
(pt 1216 840)
(pt 1360 840)
(pt 1944 216)
(pt 2000 216)
)
(connector
(pt 1512 856)
(pt 1560 856)
(pt 2088 208)
(pt 2088 216)
)
(connector
(pt 1560 856)
(pt 1656 856)
(pt 2088 216)
(pt 2088 280)
)
(connector
(pt 1904 808)
(pt 1928 808)
(pt 2064 216)
(pt 2088 216)
)
(connector
(pt 1928 808)
(pt 1984 808)
(pt 2088 216)
(pt 2144 216)
)
(connector
(pt 1360 840)
(pt 1504 840)
(pt 2232 208)
(pt 2232 216)
)
(connector
(pt 1656 856)
(pt 1704 856)
(pt 2232 216)
(pt 2232 280)
)
(connector
(pt 1704 856)
(pt 1800 856)
(pt 2208 216)
(pt 2232 216)
)
(connector
(pt 2048 808)
(pt 2072 808)
(pt 2232 216)
(pt 2288 216)
)
(connector
(pt 2072 808)
(pt 2128 808)
(pt 2376 208)
(pt 2376 216)
)
(connector
(pt 1504 840)
(pt 1648 840)
(pt 2376 216)
(pt 2376 280)
)
(connector
(pt 1800 856)
(pt 1848 856)
(pt 2352 216)
(pt 2376 216)
)
(connector
(pt 1848 856)
(pt 1944 856)
(pt 2376 216)
(pt 2432 216)
)
(connector
(pt 2192 808)
(pt 2216 808)
(pt 384 264)
(pt 480 264)
)
(connector
(pt 2216 808)
(pt 2272 808)
(pt 480 264)
(pt 528 264)
)
(connector
(pt 1648 840)
(pt 1792 840)
(pt 528 264)
(pt 792 264)
)
(connector
(pt 1944 856)
(pt 1992 856)
(pt 792 264)
(pt 840 264)
)
(connector
(pt 1992 856)
(pt 2088 856)
(pt 208 360)
(pt 424 360)
(bus)
)
(connector
(pt 2336 808)
(pt 2360 808)
(pt 632 344)
(pt 632 360)
(bus)
)
(connector
(pt 2360 808)
(pt 2416 808)
(pt 632 360)
(pt 632 376)
(bus)
)
(connector
(pt 1792 840)
(pt 1936 840)
(pt 424 360)
(pt 568 360)
(bus)
)
(connector
(pt 1936 840)
(pt 2080 840)
(pt 568 360)
(pt 632 360)
(bus)
)
(connector
(pt 2080 840)
(pt 2224 840)
(pt 208 712)
(pt 424 712)
(bus)
)
(connector
(pt 2224 840)
(pt 2368 840)
(pt 632 696)
(pt 632 712)
(bus)
)
(connector
(pt 2368 840)
(pt 2496 840)
(pt 632 712)
(pt 632 728)
(bus)
)
(connector
(pt 2088 856)
(pt 2136 856)
(pt 424 712)
(pt 568 712)
(bus)
)
(connector
(pt 2136 856)
(pt 2232 856)
(pt 568 712)
(pt 632 712)
(bus)
)
(connector
(pt 2232 856)
(pt 2280 856)
(pt 992 824)
(pt 1152 824)
)
(connector
(pt 2280 856)
(pt 2376 856)
(pt 1152 824)
(pt 1296 824)
)
(connector
(pt 296 808)
(pt 320 808)
(pt 1296 824)
(pt 1440 824)
)
(connector
(pt 320 808)
(pt 376 808)
(pt 1440 824)
(pt 1584 824)
)
(connector
(pt 440 808)
(pt 464 808)
(pt 1584 824)
(pt 1728 824)
)
(connector
(pt 464 808)
(pt 520 808)
(pt 1728 824)
(pt 1872 824)
)
(connector
(pt 208 856)
(pt 336 856)
(pt 1872 824)
(pt 2016 824)
)
(connector
(pt 336 856)
(pt 384 856)
(pt 2016 824)
(pt 2160 824)
)
(connector
(pt 384 856)
(pt 480 856)
(pt 2160 824)
(pt 2304 824)
)
(connector
(pt 480 856)
(pt 528 856)
(pt 2304 824)
(pt 2448 824)
)
(connector
(pt 528 856)
(pt 776 856)
(pt 2448 824)
(pt 2496 824)
)
(connector
(pt 776 856)
(pt 824 856)
(pt 752 808)
(pt 776 808)
)
(connector
(pt 608 208)
(pt 608 400)
(pt 776 808)
(pt 832 808)
)
(connector
(pt 608 400)
(pt 608 760)
(pt 896 808)
(pt 920 808)
)
(connector
(pt 656 208)
(pt 656 312)
(pt 920 808)
(pt 976 808)
)
(connector
(pt 656 312)
(pt 656 328)
(pt 1056 808)
(pt 1080 808)
)
(connector
(pt 208 232)
(pt 216 232)
(pt 1080 808)
(pt 1136 808)
)
(connector
(pt 216 232)
(pt 328 232)
(pt 1200 808)
(pt 1224 808)
)
(connector
(pt 328 232)
(pt 472 232)
(pt 1224 808)
(pt 1280 808)
)
(connector
(pt 472 232)
(pt 768 232)
(pt 1344 808)
(pt 1368 808)
)
(connector
(pt 280 248)
(pt 392 248)
(pt 1368 808)
(pt 1424 808)
)
(connector
(pt 392 248)
(pt 536 248)
(pt 936 856)
(pt 984 856)
)
(connector
(pt 536 248)
(pt 832 248)
(pt 984 856)
(pt 1096 856)
)
(connector
(pt 280 824)
(pt 392 824)
(pt 1488 808)
(pt 1512 808)
)
(connector
(pt 392 824)
(pt 536 824)
(pt 1512 808)
(pt 1568 808)
)
(connector
(pt 536 824)
(pt 832 824)
(pt 1096 856)
(pt 1144 856)
)
(connector
(pt 208 840)
(pt 216 840)
(pt 1144 856)
(pt 1240 856)
)
(connector
(pt 216 840)
(pt 328 840)
(pt 1632 808)
(pt 1656 808)
)
(connector
(pt 328 840)
(pt 472 840)
(pt 1656 808)
(pt 1712 808)
)
(connector
(pt 472 840)
(pt 768 840)
(pt 1240 856)
(pt 1288 856)
)
(connector
(pt 680 344)
(pt 696 344)
(bus)
(pt 1288 856)
(pt 1384 856)
)
(connector
(pt 680 376)
(pt 696 376)
(bus)
(pt 1776 808)
(pt 1800 808)
)
(connector
(pt 680 696)
(pt 696 696)
(bus)
(pt 1800 808)
(pt 1856 808)
)
(connector
(pt 680 728)
(pt 696 728)
(bus)
(pt 928 840)
(pt 1088 840)
)
(connector
(pt 696 344)
(pt 696 360)
(bus)
(pt 1384 856)
(pt 1432 856)
)
(connector
(pt 696 360)
(pt 696 376)
(bus)
(pt 1432 856)
(pt 1528 856)
)
(connector
(pt 696 360)
(pt 864 360)
(bus)
(pt 1920 808)
(pt 1944 808)
)
(connector
(pt 864 360)
(pt 1008 360)
(bus)
(pt 1944 808)
(pt 2000 808)
)
(connector
(pt 1008 360)
(pt 1168 360)
(bus)
(pt 1088 840)
(pt 1232 840)
)
(connector
(pt 1168 360)
(pt 1312 360)
(bus)
(pt 1528 856)
(pt 1576 856)
)
(connector
(pt 1312 360)
(pt 1456 360)
(bus)
(pt 1576 856)
(pt 1672 856)
)
(connector
(pt 1456 360)
(pt 1600 360)
(bus)
(pt 2064 808)
(pt 2088 808)
)
(connector
(pt 1600 360)
(pt 1744 360)
(bus)
(pt 2088 808)
(pt 2144 808)
)
(connector
(pt 1744 360)
(pt 1888 360)
(bus)
(pt 1232 840)
(pt 1376 840)
)
(connector
(pt 1888 360)
(pt 2032 360)
(bus)
(pt 1672 856)
(pt 1720 856)
)
(connector
(pt 2032 360)
(pt 2176 360)
(bus)
(pt 1720 856)
(pt 1816 856)
)
(connector
(pt 2176 360)
(pt 2320 360)
(bus)
(pt 2208 808)
(pt 2232 808)
)
(connector
(pt 696 696)
(pt 696 712)
(bus)
(pt 2232 808)
(pt 2288 808)
)
(connector
(pt 696 712)
(pt 696 728)
(bus)
(pt 1376 840)
(pt 1520 840)
)
(connector
(pt 696 712)
(pt 864 712)
(bus)
(pt 1816 856)
(pt 1864 856)
)
(connector
(pt 864 712)
(pt 1008 712)
(bus)
(pt 1864 856)
(pt 1960 856)
)
(connector
(pt 1008 712)
(pt 1168 712)
(bus)
(pt 2352 808)
(pt 2376 808)
)
(connector
(pt 1168 712)
(pt 1312 712)
(bus)
(pt 2376 808)
(pt 2432 808)
)
(connector
(pt 1312 712)
(pt 1456 712)
(bus)
(pt 1520 840)
(pt 1664 840)
)
(connector
(pt 1456 712)
(pt 1600 712)
(bus)
(pt 1664 840)
(pt 1808 840)
)
(connector
(pt 1600 712)
(pt 1744 712)
(bus)
(pt 1808 840)
(pt 1952 840)
)
(connector
(pt 1744 712)
(pt 1888 712)
(bus)
(pt 1952 840)
(pt 2096 840)
)
(connector
(pt 1888 712)
(pt 2032 712)
(bus)
(pt 2096 840)
(pt 2240 840)
)
(connector
(pt 2032 712)
(pt 2176 712)
(bus)
(pt 2240 840)
(pt 2384 840)
)
(connector
(pt 2176 712)
(pt 2320 712)
(bus)
(pt 2384 840)
(pt 2512 840)
)
(connector
(pt 912 232)
(pt 1072 232)
(pt 1960 856)
(pt 2008 856)
)
(connector
(pt 1072 232)
(pt 1216 232)
(pt 2008 856)
(pt 2104 856)
)
(connector
(pt 1216 232)
(pt 1360 232)
(pt 2104 856)
(pt 2152 856)
)
(connector
(pt 1360 232)
(pt 1504 232)
(pt 2152 856)
(pt 2248 856)
)
(connector
(pt 1504 232)
(pt 1648 232)
(pt 280 824)
(pt 392 824)
)
(connector
(pt 1648 232)
(pt 1792 232)
(pt 392 824)
(pt 536 824)
)
(connector
(pt 1792 232)
(pt 1936 232)
(pt 536 824)
(pt 848 824)
)
(connector
(pt 1936 232)
(pt 2080 232)
(pt 216 840)
(pt 328 840)
)
(connector
(pt 2080 232)
(pt 2224 232)
(pt 328 840)
(pt 472 840)
)
(connector
(pt 2224 232)
(pt 2368 232)
(pt 472 840)
(pt 784 840)
)
(connector
(pt 1656 264)
(pt 1704 264)
(pt 384 856)
(pt 480 856)
)
(connector
(pt 1704 264)
(pt 1800 264)
(pt 480 856)
(pt 528 856)
)
(connector
(pt 1800 264)
(pt 1848 264)
(pt 528 856)
(pt 792 856)
)
(connector
(pt 1848 264)
(pt 1944 264)
(pt 792 856)
(pt 840 856)
)
(connector
(pt 1944 264)
(pt 1992 264)
(pt 672 208)
(pt 672 312)
)
(connector
(pt 1992 264)
(pt 2088 264)
(pt 672 312)
(pt 672 328)
)
(connector
(pt 2088 264)
(pt 2136 264)
(pt 216 232)
(pt 328 232)
)
(connector
(pt 2136 264)
(pt 2232 264)
(pt 328 232)
(pt 472 232)
)
(connector
(pt 2232 264)
(pt 2280 264)
(pt 472 232)
(pt 784 232)
)
(connector
(pt 2280 264)
(pt 2376 264)
(pt 280 248)
(pt 392 248)
)
(connector
(pt 2648 248)
(pt 2656 248)
(pt 392 248)
(pt 536 248)
)
(connector
(pt 2656 248)
(pt 2656 232)
(pt 536 248)
(pt 848 248)
)
(connector
(pt 2368 232)
(pt 2496 232)
(pt 712 344)
(pt 712 360)
(bus)
)
(connector
(pt 2432 248)
(pt 2480 248)
(pt 712 360)
(pt 712 376)
(bus)
)
(connector
(pt 2376 264)
(pt 2424 264)
(pt 712 360)
(pt 880 360)
(bus)
)
(connector
(pt 2496 232)
(pt 2656 232)
(pt 880 360)
(pt 1024 360)
(bus)
)
(connector
(pt 2480 248)
(pt 2600 248)
(pt 1024 360)
(pt 1184 360)
(bus)
)
(connector
(pt 2424 264)
(pt 2672 264)
(pt 1184 360)
(pt 1328 360)
(bus)
)
(connector
(pt 2656 232)
(pt 2672 232)
(pt 1328 360)
(pt 1472 360)
(bus)
)
(connector
(pt 2376 856)
(pt 2424 856)
(pt 1472 360)
(pt 1616 360)
(bus)
)
(connector
(pt 2424 856)
(pt 2672 856)
(pt 1616 360)
(pt 1760 360)
(bus)
)
(connector
(pt 2592 392)
(pt 2592 400)
(pt 1760 360)
(pt 1904 360)
(bus)
)
(connector
(pt 2592 416)
(pt 2592 680)
(pt 1904 360)
(pt 2048 360)
(bus)
)
(connector
(pt 2592 744)
(pt 2592 760)
(pt 712 696)
(pt 712 712)
(bus)
)
(connector
(pt 2616 344)
(pt 2632 344)
(pt 712 712)
(pt 712 728)
(bus)
)
(connector
(pt 2616 376)
(pt 2632 376)
(pt 712 712)
(pt 880 712)
(bus)
)
(connector
(pt 2616 696)
(pt 2632 696)
(pt 880 712)
(pt 1024 712)
(bus)
)
(connector
(pt 2616 728)
(pt 2632 728)
(pt 1024 712)
(pt 1184 712)
(bus)
)
(connector
(pt 2592 416)
(pt 2640 416)
(pt 1184 712)
(pt 1328 712)
(bus)
)
(connector
(pt 2592 760)
(pt 2528 760)
(pt 1328 712)
(pt 1472 712)
(bus)
)
(connector
(pt 2672 360)
(pt 2632 360)
(pt 1472 712)
(pt 1616 712)
(bus)
)
(connector
(pt 2672 712)
(pt 2632 712)
(pt 1616 712)
(pt 1760 712)
(bus)
)
(connector
(pt 2552 344)
(pt 2552 360)
(pt 1760 712)
(pt 1904 712)
(bus)
)
(connector
(pt 2552 360)
(pt 2552 376)
(pt 1904 712)
(pt 2048 712)
(bus)
)
(connector
(pt 2320 360)
(pt 2464 360)
(bus)
(pt 928 232)
(pt 1088 232)
)
(connector
(pt 2464 360)
(pt 2552 360)
(bus)
(pt 1088 232)
(pt 1232 232)
)
(connector
(pt 2552 696)
(pt 2552 712)
(bus)
(pt 1232 232)
(pt 1376 232)
)
(connector
(pt 2552 712)
(pt 2552 728)
(bus)
(pt 1376 232)
(pt 1520 232)
)
(connector
(pt 2320 712)
(pt 2464 712)
(bus)
(pt 1520 232)
(pt 1664 232)
)
(connector
(pt 2464 712)
(pt 2552 712)
(bus)
(pt 1664 232)
(pt 1808 232)
)
(connector
(pt 2544 400)
(pt 2592 400)
(pt 1808 232)
(pt 1952 232)
)
(connector
(pt 2632 344)
(pt 2632 360)
(bus)
(pt 1952 232)
(pt 2096 232)
)
(connector
(pt 2632 360)
(pt 2632 376)
(bus)
(pt 1528 264)
(pt 1576 264)
)
(connector
(pt 2632 696)
(pt 2632 712)
(bus)
(pt 1576 264)
(pt 1672 264)
)
(connector
(pt 2632 712)
(pt 2632 728)
(bus)
(pt 1672 264)
(pt 1720 264)
)
(connector
(pt 2552 344)
(pt 2568 344)
(bus)
(pt 1720 264)
(pt 1816 264)
)
(connector
(pt 2552 376)
(pt 2568 376)
(bus)
(pt 1816 264)
(pt 1864 264)
)
(connector
(pt 2552 696)
(pt 2568 696)
(bus)
(pt 1864 264)
(pt 1960 264)
)
(connector
(pt 2552 728)
(pt 2568 728)
(bus)
(pt 1960 264)
(pt 2008 264)
)
(connector
(pt 2592 328)
(pt 2592 320)
(pt 2008 264)
(pt 2104 264)
)
(connector
(pt 2592 320)
(pt 2568 320)
(pt 2104 264)
(pt 2152 264)
)
(connector
(pt 2640 304)
(pt 2640 416)
(pt 2152 264)
(pt 2248 264)
)
(connector
(pt 2584 304)
(pt 2640 304)
(pt 2096 232)
(pt 2240 232)
)
(connector
(pt 968 520)
(pt 968 528)
(bus)
(pt 2160 248)
(pt 2304 248)
)
(connector
(pt 1128 520)
(pt 1128 528)
(bus)
(pt 2248 264)
(pt 2296 264)
)
(connector
(pt 1272 520)
(pt 1272 528)
(bus)
(pt 2240 232)
(pt 2384 232)
)
(connector
(pt 1416 520)
(pt 1416 528)
(bus)
(pt 2304 248)
(pt 2448 248)
)
(connector
(pt 1560 520)
(pt 1560 528)
(bus)
(pt 2296 264)
(pt 2392 264)
)
(connector
(pt 1704 520)
(pt 1704 528)
(bus)
(pt 2384 232)
(pt 2512 232)
)
(connector
(pt 1848 520)
(pt 1848 528)
(bus)
(pt 2248 856)
(pt 2296 856)
)
(connector
(pt 1992 520)
(pt 1992 528)
(bus)
(pt 2296 856)
(pt 2392 856)
)
(connector
(pt 2136 520)
(pt 2136 528)
(pt 2048 360)
(pt 2192 360)
(bus)
)
(connector
(pt 2280 520)
(pt 2280 528)
(pt 2192 360)
(pt 2336 360)
(bus)
)
(connector
(pt 2424 520)
(pt 2424 528)
(pt 2568 344)
(pt 2568 360)
(bus)
)
(connector
(pt 384 680)
(pt 384 728)
(pt 2568 360)
(pt 2568 376)
(bus)
)
(connector
(pt 368 680)
(pt 368 696)
(pt 2336 360)
(pt 2480 360)
(bus)
)
(connector
(pt 528 680)
(pt 528 728)
(pt 2480 360)
(pt 2568 360)
(bus)
)
(connector
(pt 512 680)
(pt 512 696)
(pt 2048 712)
(pt 2192 712)
(bus)
)
(connector
(pt 824 528)
(pt 824 520)
(pt 2192 712)
(pt 2336 712)
(bus)
)
(connector
(pt 2424 680)
(pt 2424 728)
(pt 2568 696)
(pt 2568 712)
(bus)
)
(connector
(pt 2408 680)
(pt 2408 696)
(pt 2568 712)
(pt 2568 728)
(bus)
)
(connector
(pt 2280 680)
(pt 2280 728)
(pt 2336 712)
(pt 2480 712)
(bus)
)
(connector
(pt 2264 680)
(pt 2264 696)
(pt 2480 712)
(pt 2568 712)
(bus)
)
(connector
(pt 2136 680)
(pt 2136 728)
(pt 2264 520)
(pt 2264 536)
)
(connector
(pt 2120 680)
(pt 2120 696)
(pt 2264 536)
(pt 2264 560)
)
(connector
(pt 1992 680)
(pt 1992 728)
(pt 2408 520)
(pt 2408 536)
)
(connector
(pt 1976 680)
(pt 1976 696)
(pt 2408 536)
(pt 2408 560)
)
(connector
(pt 1848 680)
(pt 1848 728)
(pt 2120 520)
(pt 2120 536)
)
(connector
(pt 1832 680)
(pt 1832 696)
(pt 2120 536)
(pt 2120 560)
)
(connector
(pt 1704 680)
(pt 1704 728)
(pt 2264 536)
(pt 2408 536)
)
(connector
(pt 1688 680)
(pt 1688 696)
(pt 1976 520)
(pt 1976 536)
)
(connector
(pt 1560 680)
(pt 1560 728)
(pt 1976 536)
(pt 1976 560)
)
(connector
(pt 1544 680)
(pt 1544 696)
(pt 2120 536)
(pt 2264 536)
)
(connector
(pt 1416 680)
(pt 1416 728)
(pt 1832 520)
(pt 1832 536)
)
(connector
(pt 1400 680)
(pt 1400 696)
(pt 1832 536)
(pt 1832 560)
)
(connector
(pt 1272 680)
(pt 1272 728)
(pt 1976 536)
(pt 2120 536)
)
(connector
(pt 1256 680)
(pt 1256 696)
(pt 1688 520)
(pt 1688 536)
)
(connector
(pt 1128 680)
(pt 1128 728)
(pt 1688 536)
(pt 1688 560)
)
(connector
(pt 1112 680)
(pt 1112 696)
(pt 1832 536)
(pt 1976 536)
)
(connector
(pt 968 680)
(pt 968 728)
(pt 1544 520)
(pt 1544 536)
)
(connector
(pt 952 680)
(pt 952 696)
(pt 1544 536)
(pt 1544 560)
)
(connector
(pt 824 680)
(pt 824 728)
(pt 1688 536)
(pt 1832 536)
)
(connector
(pt 808 680)
(pt 808 696)
(pt 1400 520)
(pt 1400 536)
)
(connector
(pt 528 520)
(pt 528 528)
(bus)
(pt 1400 536)
(pt 1400 560)
)
(connector
(pt 384 520)
(pt 384 528)
(bus)
(pt 1544 536)
(pt 1688 536)
)
(connector
(pt 2392 520)
(pt 2392 536)
(pt 1256 520)
(pt 1256 536)
)
(connector
(pt 2392 536)
(pt 2392 560)
(pt 1256 536)
(pt 1256 560)
)
(connector
(pt 2248 536)
(pt 2392 536)
(pt 1400 536)
(pt 1544 536)
)
(connector
(pt 2248 520)
(pt 2248 536)
(pt 1112 520)
(pt 1112 536)
)
(connector
(pt 2248 536)
(pt 2248 560)
(pt 1112 536)
(pt 1112 560)
)
(connector
(pt 2104 536)
(pt 2248 536)
(pt 1256 536)
(pt 1400 536)
)
(connector
(pt 2104 520)
(pt 2104 536)
(pt 952 520)
(pt 952 536)
)
(connector
(pt 2104 536)
(pt 2104 560)
(pt 952 536)
(pt 952 560)
)
(connector
(pt 1960 536)
(pt 2104 536)
(pt 1112 536)
(pt 1256 536)
)
(connector
(pt 1960 520)
(pt 1960 536)
(pt 496 520)
(pt 496 536)
)
(connector
(pt 1960 536)
(pt 1960 560)
(pt 496 536)
(pt 496 560)
)
(connector
(pt 1816 536)
(pt 1960 536)
(pt 208 536)
(pt 352 536)
)
(connector
(pt 1816 520)
(pt 1816 536)
(pt 808 520)
(pt 808 536)
)
(connector
(pt 1816 536)
(pt 1816 560)
(pt 808 536)
(pt 808 560)
)
(connector
(pt 1672 536)
(pt 1816 536)
(pt 352 536)
(pt 496 536)
)
(connector
(pt 1672 520)
(pt 1672 536)
(pt 496 536)
(pt 808 536)
)
(connector
(pt 1672 536)
(pt 1672 560)
(pt 808 536)
(pt 952 536)
)
(connector
(pt 1528 536)
(pt 1672 536)
(pt 952 536)
(pt 1112 536)
)
(connector
(pt 1528 520)
(pt 1528 536)
(pt 2448 248)
(pt 2496 248)
)
(connector
(pt 1528 536)
(pt 1528 560)
(pt 2392 264)
(pt 2440 264)
)
(connector
(pt 1384 536)
(pt 1528 536)
(pt 2392 856)
(pt 2440 856)
)
(connector
(pt 1384 520)
(pt 1384 536)
(pt 2512 232)
(pt 2672 232)
)
(connector
(pt 1384 536)
(pt 1384 560)
(pt 2648 344)
(pt 2648 360)
(bus)
)
(connector
(pt 1240 536)
(pt 1384 536)
(pt 2648 360)
(pt 2648 376)
(bus)
)
(connector
(pt 1240 520)
(pt 1240 536)
(pt 2648 696)
(pt 2648 712)
(bus)
)
(connector
(pt 1240 536)
(pt 1240 560)
(pt 2648 712)
(pt 2648 728)
(bus)
)
(connector
(pt 1096 536)
(pt 1240 536)
(pt 632 344)
(pt 648 344)
(bus)
)
(connector
(pt 1096 520)
(pt 1096 536)
(pt 632 376)
(pt 648 376)
(bus)
)
(connector
(pt 1096 536)
(pt 1096 560)
(pt 632 696)
(pt 648 696)
(bus)
)
(connector
(pt 936 536)
(pt 1096 536)
(pt 632 728)
(pt 648 728)
(bus)
)
(connector
(pt 936 520)
(pt 936 536)
(pt 2496 248)
(pt 2616 248)
)
(connector
(pt 936 536)
(pt 936 560)
(pt 2568 344)
(pt 2584 344)
(bus)
)
(connector
(pt 792 536)
(pt 936 536)
(pt 2568 376)
(pt 2584 376)
(bus)
)
(connector
(pt 792 520)
(pt 792 536)
(pt 2568 696)
(pt 2584 696)
(bus)
)
(connector
(pt 792 536)
(pt 792 560)
(pt 2568 728)
(pt 2584 728)
(bus)
)
(connector
(pt 496 536)
(pt 792 536)
(pt 2440 264)
(pt 2688 264)
)
(connector
(pt 496 520)
(pt 496 536)
(pt 2440 856)
(pt 2688 856)
)
(connector
(pt 496 536)
(pt 496 560)
(pt 2672 232)
(pt 2688 232)
)
(connector
(pt 352 520)
(pt 352 536)
(pt 2648 360)
(pt 2688 360)
(bus)
)
(connector
(pt 352 536)
(pt 352 560)
(pt 2648 712)
(pt 2688 712)
(bus)
)
(connector
(pt 2568 208)
(pt 2568 320)
(pt 672 400)
(pt 624 400)
)
(connector
(pt 2584 208)
(pt 2584 304)
(pt 672 760)
(pt 608 760)
)
(connector
(pt 2528 208)
(pt 2528 760)
(pt 624 208)
(pt 624 400)
)
(connector
(pt 2544 208)
(pt 2544 400)
(pt 608 208)
(pt 608 760)
)
(connector
(pt 352 536)
(pt 496 536)
)
(connector
(pt 208 536)
(pt 352 536)
)
(junction (pt 216 232))
(junction (pt 216 840))
(junction (pt 864 360))
(junction (pt 696 360))
(junction (pt 1008 360))
(junction (pt 1168 360))
(junction (pt 1312 360))
(junction (pt 1456 360))
(junction (pt 1600 360))
(junction (pt 1744 360))
(junction (pt 1888 360))
(junction (pt 2032 360))
(junction (pt 2176 360))
(junction (pt 2320 360))
(junction (pt 864 712))
(junction (pt 696 712))
(junction (pt 1008 712))
(junction (pt 1168 712))
(junction (pt 1312 712))
(junction (pt 1456 712))
(junction (pt 1600 712))
(junction (pt 1744 712))
(junction (pt 1888 712))
(junction (pt 2032 712))
(junction (pt 2176 712))
(junction (pt 2320 712))
(junction (pt 968 264))
(junction (pt 1080 264))
(junction (pt 1128 264))
(junction (pt 1224 264))
(junction (pt 1272 264))
(junction (pt 1368 264))
(junction (pt 1416 264))
(junction (pt 1512 264))
(junction (pt 1560 264))
(junction (pt 1656 264))
(junction (pt 1704 264))
(junction (pt 1800 264))
(junction (pt 1848 264))
(junction (pt 1944 264))
(junction (pt 1992 264))
(junction (pt 2088 264))
(junction (pt 2136 264))
(junction (pt 2232 264))
(junction (pt 2280 264))
(junction (pt 2376 264))
(junction (pt 2424 264))
(junction (pt 776 264))
(junction (pt 1136 248))
(junction (pt 1280 248))
(junction (pt 1424 248))
(junction (pt 1568 248))
(junction (pt 1712 248))
(junction (pt 1856 248))
(junction (pt 2000 248))
(junction (pt 2144 248))
(junction (pt 2288 248))
(junction (pt 2432 248))
(junction (pt 2480 248))
(junction (pt 1072 232))
(junction (pt 1216 232))
(junction (pt 1360 232))
(junction (pt 1504 232))
(junction (pt 1648 232))
(junction (pt 1792 232))
(junction (pt 1936 232))
(junction (pt 2080 232))
(junction (pt 2224 232))
(junction (pt 2368 232))
(junction (pt 2496 232))
(junction (pt 320 216))
(junction (pt 464 216))
(junction (pt 760 216))
(junction (pt 904 216))
(junction (pt 1064 216))
(junction (pt 1208 216))
(junction (pt 1352 216))
(junction (pt 1496 216))
(junction (pt 1640 216))
(junction (pt 1784 216))
(junction (pt 1928 216))
(junction (pt 2072 216))
(junction (pt 2216 216))
(junction (pt 2360 216))
(junction (pt 336 264))
(junction (pt 384 264))
(junction (pt 480 264))
7260,63 → 7197,8
(junction (pt 528 264))
(junction (pt 424 360))
(junction (pt 568 360))
(junction (pt 616 360))
(junction (pt 424 712))
(junction (pt 568 712))
(junction (pt 616 712))
(junction (pt 1136 824))
(junction (pt 1280 824))
(junction (pt 1424 824))
(junction (pt 1568 824))
(junction (pt 1712 824))
(junction (pt 1856 824))
(junction (pt 2000 824))
(junction (pt 2144 824))
(junction (pt 2288 824))
(junction (pt 2432 824))
(junction (pt 760 808))
(junction (pt 904 808))
(junction (pt 1064 808))
(junction (pt 968 856))
(junction (pt 1208 808))
(junction (pt 1080 856))
(junction (pt 1128 856))
(junction (pt 1352 808))
(junction (pt 1072 840))
(junction (pt 1224 856))
(junction (pt 1272 856))
(junction (pt 1496 808))
(junction (pt 1216 840))
(junction (pt 1368 856))
(junction (pt 1416 856))
(junction (pt 1640 808))
(junction (pt 1360 840))
(junction (pt 1512 856))
(junction (pt 1560 856))
(junction (pt 1784 808))
(junction (pt 1504 840))
(junction (pt 1656 856))
(junction (pt 1704 856))
(junction (pt 1928 808))
(junction (pt 1648 840))
(junction (pt 1800 856))
(junction (pt 1848 856))
(junction (pt 2072 808))
(junction (pt 1792 840))
(junction (pt 1944 856))
(junction (pt 1992 856))
(junction (pt 2216 808))
(junction (pt 1936 840))
(junction (pt 2088 856))
(junction (pt 2136 856))
(junction (pt 2360 808))
(junction (pt 2080 840))
(junction (pt 2224 840))
(junction (pt 2368 840))
(junction (pt 2232 856))
(junction (pt 2280 856))
(junction (pt 2376 856))
(junction (pt 2424 856))
(junction (pt 320 808))
(junction (pt 464 808))
(junction (pt 336 856))
7323,9 → 7205,6
(junction (pt 384 856))
(junction (pt 480 856))
(junction (pt 528 856))
(junction (pt 776 856))
(junction (pt 608 400))
(junction (pt 656 312))
(junction (pt 328 232))
(junction (pt 472 232))
(junction (pt 392 248))
7334,39 → 7213,176
(junction (pt 536 824))
(junction (pt 328 840))
(junction (pt 472 840))
(junction (pt 2464 360))
(junction (pt 2464 712))
(junction (pt 2656 232))
(junction (pt 2552 360))
(junction (pt 2552 712))
(junction (pt 2632 360))
(junction (pt 2632 712))
(junction (pt 2392 536))
(junction (pt 2248 536))
(junction (pt 2104 536))
(junction (pt 1960 536))
(junction (pt 1816 536))
(junction (pt 1672 536))
(junction (pt 1528 536))
(junction (pt 1384 536))
(junction (pt 1240 536))
(junction (pt 1096 536))
(junction (pt 936 536))
(junction (pt 792 536))
(junction (pt 496 536))
(junction (pt 352 536))
(junction (pt 984 264))
(junction (pt 1096 264))
(junction (pt 1144 264))
(junction (pt 1240 264))
(junction (pt 1288 264))
(junction (pt 1384 264))
(junction (pt 1432 264))
(junction (pt 1528 264))
(junction (pt 1576 264))
(junction (pt 1152 248))
(junction (pt 1296 248))
(junction (pt 1440 248))
(junction (pt 1584 248))
(junction (pt 1728 248))
(junction (pt 1872 248))
(junction (pt 2016 248))
(junction (pt 2160 248))
(junction (pt 2304 248))
(junction (pt 776 216))
(junction (pt 920 216))
(junction (pt 1080 216))
(junction (pt 1224 216))
(junction (pt 1368 216))
(junction (pt 1512 216))
(junction (pt 1656 216))
(junction (pt 1800 216))
(junction (pt 1944 216))
(junction (pt 2088 216))
(junction (pt 2232 216))
(junction (pt 2376 216))
(junction (pt 792 264))
(junction (pt 632 360))
(junction (pt 632 712))
(junction (pt 1152 824))
(junction (pt 1296 824))
(junction (pt 1440 824))
(junction (pt 1584 824))
(junction (pt 1728 824))
(junction (pt 1872 824))
(junction (pt 2016 824))
(junction (pt 2160 824))
(junction (pt 2304 824))
(junction (pt 2448 824))
(junction (pt 776 808))
(junction (pt 920 808))
(junction (pt 1080 808))
(junction (pt 1224 808))
(junction (pt 984 856))
(junction (pt 1368 808))
(junction (pt 1096 856))
(junction (pt 1144 856))
(junction (pt 1512 808))
(junction (pt 1240 856))
(junction (pt 1288 856))
(junction (pt 1656 808))
(junction (pt 1088 840))
(junction (pt 1384 856))
(junction (pt 1432 856))
(junction (pt 1800 808))
(junction (pt 1232 840))
(junction (pt 1528 856))
(junction (pt 1576 856))
(junction (pt 1944 808))
(junction (pt 1376 840))
(junction (pt 1672 856))
(junction (pt 1720 856))
(junction (pt 2088 808))
(junction (pt 1520 840))
(junction (pt 1816 856))
(junction (pt 1864 856))
(junction (pt 2232 808))
(junction (pt 1664 840))
(junction (pt 1960 856))
(junction (pt 2008 856))
(junction (pt 2376 808))
(junction (pt 1808 840))
(junction (pt 1952 840))
(junction (pt 2096 840))
(junction (pt 2240 840))
(junction (pt 2384 840))
(junction (pt 2104 856))
(junction (pt 2152 856))
(junction (pt 2248 856))
(junction (pt 2296 856))
(junction (pt 792 856))
(junction (pt 672 312))
(junction (pt 880 360))
(junction (pt 712 360))
(junction (pt 1024 360))
(junction (pt 1184 360))
(junction (pt 1328 360))
(junction (pt 1472 360))
(junction (pt 1616 360))
(junction (pt 1760 360))
(junction (pt 1904 360))
(junction (pt 2048 360))
(junction (pt 2192 360))
(junction (pt 880 712))
(junction (pt 712 712))
(junction (pt 1024 712))
(junction (pt 1184 712))
(junction (pt 1328 712))
(junction (pt 1472 712))
(junction (pt 1616 712))
(junction (pt 1760 712))
(junction (pt 1904 712))
(junction (pt 2048 712))
(junction (pt 2192 712))
(junction (pt 1088 232))
(junction (pt 1232 232))
(junction (pt 1376 232))
(junction (pt 1520 232))
(junction (pt 1664 232))
(junction (pt 1808 232))
(junction (pt 1952 232))
(junction (pt 2096 232))
(junction (pt 2240 232))
(junction (pt 1672 264))
(junction (pt 1720 264))
(junction (pt 1816 264))
(junction (pt 1864 264))
(junction (pt 1960 264))
(junction (pt 2008 264))
(junction (pt 2104 264))
(junction (pt 2152 264))
(junction (pt 2248 264))
(junction (pt 2296 264))
(junction (pt 2384 232))
(junction (pt 2448 248))
(junction (pt 2392 264))
(junction (pt 2512 232))
(junction (pt 2496 248))
(junction (pt 2440 264))
(junction (pt 2672 232))
(junction (pt 2392 856))
(junction (pt 2440 856))
(junction (pt 2336 360))
(junction (pt 2480 360))
(junction (pt 2568 360))
(junction (pt 2336 712))
(junction (pt 2480 712))
(junction (pt 2568 712))
(junction (pt 2264 536))
(junction (pt 2408 536))
(junction (pt 2120 536))
(junction (pt 1976 536))
(junction (pt 1832 536))
(junction (pt 1688 536))
(junction (pt 1544 536))
(junction (pt 1400 536))
(junction (pt 1256 536))
(junction (pt 1112 536))
(junction (pt 952 536))
(junction (pt 808 536))
(junction (pt 2648 360))
(junction (pt 2648 712))
(text "SW4" (rect 640 792 681 810)(font "Arial" (color 0 0 0)(font_size 12)))
(text "Register Gate" (rect 2536 800 2650 818)(font "Arial" (color 0 0 0)(font_size 12)))
(rectangle (rect 592 296 720 776)(fill (color 255 170 255)))
(rectangle (rect 2512 296 2656 776)(fill (color 255 170 255)))
(text "Register Gate" (rect 2552 800 2666 818)(font "Arial" (color 0 0 0)(font_size 12)))
(rectangle (rect 592 296 728 776)(fill (color 255 170 255)))
(rectangle (rect 2528 296 2664 776)(fill (color 255 170 255)))
(title_block
(rect 32 888 353 949)
(name "title-custom-medium")
(section (rect 0 41 240 60)(text "DATE" (rect 2 0 30 12)(font "Arial" ))(text "May 17, 2014, 2016" (rect 56 3 191 19)(font "Arial" (font_size 10)))(border))
(section (rect 0 21 320 40)(text "DESIGNER" (rect 2 0 59 12)(font "Arial" ))(text "Goran Devic" (rect 56 2 151 19)(font "Arial" (font_size 11)))(border))
(section (rect 130 0 320 20)(text "MODULE" (rect 2 1 48 13)(font "Arial" ))(text "reg_file" (rect 43 2 109 21)(font "Arial" (font_size 12)(bold)))(border))
(section (rect 0 0 320 20)(text "PROJECT" (rect 2 0 52 12)(font "Arial" ))(text "A-Z80" (rect 56 2 106 21)(font "Arial" (font_size 12)(bold)))(border))
(section (rect 130 0 320 20)(text "MODULE" (rect 2 1 48 13)(font "Arial" ))(text "reg_file" (rect 43 2 109 21)(font "Arial" (font_size 12)(bold)))(border))
(section (rect 0 21 320 40)(text "DESIGNER" (rect 2 0 59 12)(font "Arial" ))(text "Goran Devic" (rect 56 2 151 19)(font "Arial" (font_size 11)))(border))
(section (rect 0 41 240 60)(text "DATE" (rect 2 0 30 12)(font "Arial" ))(text "May 17, 2014" (rect 56 3 149 19)(font "Arial" (font_size 10)))(border))
(section (rect 241 41 320 60)(text "REV" (rect 2 1 25 13)(font "Arial" ))(text "1.4" (rect 43 3 64 19)(font "Arial" (font_size 10)))(border))
(section (rect 241 41 320 60)(text "REV" (rect 2 1 25 13)(font "Arial" ))(text "1.5" (rect 43 3 64 19)(font "Arial" (font_size 10)))(border))
(drawing
)
)
/reg_control.bdf
149,7 → 149,7
)
(pin
(input)
(rect 32 1296 208 1312)
(rect 32 1432 208 1448)
(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
(text "ctl_reg_sel_pc" (rect 9 0 79 12)(font "Arial" ))
(pt 176 8)
165,7 → 165,7
)
(pin
(input)
(rect 32 1264 208 1280)
(rect 32 1400 208 1416)
(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
(text "ctl_reg_sel_ir" (rect 9 0 73 12)(font "Arial" ))
(pt 176 8)
181,7 → 181,7
)
(pin
(input)
(rect 32 1368 208 1384)
(rect 32 1504 208 1520)
(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
(text "ctl_reg_sel_wz" (rect 9 0 79 12)(font "Arial" ))
(pt 176 8)
213,7 → 213,7
)
(pin
(input)
(rect 32 1336 208 1352)
(rect 32 1472 208 1488)
(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
(text "ctl_reg_not_pc" (rect 9 0 80 12)(font "Arial" ))
(pt 176 8)
309,21 → 309,37
)
(pin
(input)
(rect 40 472 208 488)
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
(text "clk" (rect 5 0 20 12)(font "Arial" ))
(pt 168 8)
(rect 32 472 208 488)
(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
(text "clk" (rect 9 0 23 12)(font "Arial" ))
(pt 176 8)
(drawing
(line (pt 84 12)(pt 109 12))
(line (pt 84 4)(pt 109 4))
(line (pt 113 8)(pt 168 8))
(line (pt 84 12)(pt 84 4))
(line (pt 109 4)(pt 113 8))
(line (pt 109 12)(pt 113 8))
(line (pt 92 12)(pt 117 12))
(line (pt 92 4)(pt 117 4))
(line (pt 121 8)(pt 176 8))
(line (pt 92 12)(pt 92 4))
(line (pt 117 4)(pt 121 8))
(line (pt 117 12)(pt 121 8))
)
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
(input)
(rect 32 1304 208 1320)
(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
(text "ctl_sw_4d" (rect 9 0 57 12)(font "Arial" ))
(pt 176 8)
(drawing
(line (pt 92 12)(pt 117 12))
(line (pt 92 4)(pt 117 4))
(line (pt 121 8)(pt 176 8))
(line (pt 92 12)(pt 92 4))
(line (pt 117 4)(pt 121 8))
(line (pt 117 12)(pt 121 8))
)
(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
(output)
(rect 1144 216 1320 232)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
485,7 → 501,7
)
(pin
(output)
(rect 1144 1368 1320 1384)
(rect 1144 1504 1320 1520)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "reg_sel_wz" (rect 90 0 143 12)(font "Arial" ))
(pt 0 8)
501,7 → 517,7
)
(pin
(output)
(rect 1144 1304 1320 1320)
(rect 1144 1440 1320 1456)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "reg_sel_pc" (rect 90 0 143 12)(font "Arial" ))
(pt 0 8)
517,7 → 533,7
)
(pin
(output)
(rect 1144 1264 1320 1280)
(rect 1144 1400 1320 1416)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "reg_sel_ir" (rect 90 0 137 12)(font "Arial" ))
(pt 0 8)
659,6 → 675,38
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 1144 1272 1320 1288)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "reg_sw_4d_lo" (rect 90 0 156 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 1144 1328 1320 1344)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "reg_sw_4d_hi" (rect 90 0 156 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(symbol
(rect 328 136 376 168)
(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
2163,7 → 2211,7
)
)
(symbol
(rect 680 1288 744 1336)
(rect 680 1424 744 1472)
(text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
(text "inst11" (rect 3 37 32 49)(font "Arial" ))
(port
2195,7 → 2243,7
)
)
(symbol
(rect 584 1328 632 1360)
(rect 552 1464 600 1496)
(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
(text "inst13" (rect 3 21 32 33)(font "Arial" ))
(port
2285,6 → 2333,127
(arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36))
)
)
(symbol
(rect 552 1328 600 1360)
(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
(text "inst23" (rect 3 21 32 33)(font "Arial" ))
(port
(pt 0 16)
(input)
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 13 16))
)
(port
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(line (pt 39 16)(pt 48 16))
)
(drawing
(line (pt 13 25)(pt 13 7))
(line (pt 13 7)(pt 31 16))
(line (pt 13 25)(pt 31 16))
(circle (rect 31 12 39 20))
)
)
(symbol
(rect 840 1312 904 1360)
(text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
(text "inst28" (rect 3 37 32 49)(font "Arial" ))
(port
(pt 0 16)
(input)
(text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
(text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 14 16))
)
(port
(pt 0 32)
(input)
(text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
(text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
(line (pt 0 32)(pt 14 32))
)
(port
(pt 64 24)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(line (pt 42 24)(pt 64 24))
)
(drawing
(line (pt 14 12)(pt 30 12))
(line (pt 14 37)(pt 31 37))
(line (pt 14 12)(pt 14 37))
(arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
)
)
(symbol
(rect 760 1328 808 1360)
(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
(text "inst25" (rect 3 21 32 33)(font "Arial" ))
(port
(pt 0 16)
(input)
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 13 16))
)
(port
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(line (pt 39 16)(pt 48 16))
)
(drawing
(line (pt 13 25)(pt 13 7))
(line (pt 13 7)(pt 31 16))
(line (pt 13 25)(pt 31 16))
(circle (rect 31 12 39 20))
)
)
(symbol
(rect 680 1320 744 1368)
(text "AND3" (rect 1 0 25 10)(font "Arial" (font_size 6)))
(text "inst29" (rect 3 37 32 49)(font "Arial" ))
(port
(pt 0 16)
(input)
(text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
(text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 16 16))
)
(port
(pt 0 24)
(input)
(text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
(text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
(line (pt 0 24)(pt 16 24))
)
(port
(pt 0 32)
(input)
(text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
(text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
(line (pt 0 32)(pt 16 32))
)
(port
(pt 64 24)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(line (pt 43 24)(pt 64 24))
)
(drawing
(line (pt 16 12)(pt 31 12))
(line (pt 16 37)(pt 31 37))
(line (pt 16 12)(pt 16 37))
(arc (pt 31 36)(pt 31 12)(rect 19 12 44 37))
)
)
(connector
(pt 616 680)
(pt 600 680)
2930,58 → 3099,6
(pt 928 776)
)
(connector
(text "ctl_reg_sys_hilo[0]" (rect 1029 1192 1122 1204)(font "Arial" ))
(pt 1008 1208)
(pt 1144 1208)
)
(connector
(pt 208 1208)
(pt 1008 1208)
(bus)
)
(connector
(pt 1008 1208)
(pt 1008 1240)
(bus)
)
(connector
(text "ctl_reg_sys_hilo[1]" (rect 1030 1224 1123 1236)(font "Arial" ))
(pt 1008 1240)
(pt 1144 1240)
)
(connector
(pt 208 1272)
(pt 1144 1272)
)
(connector
(pt 744 1312)
(pt 1144 1312)
)
(connector
(pt 680 1304)
(pt 208 1304)
)
(connector
(pt 680 1320)
(pt 656 1320)
)
(connector
(pt 656 1320)
(pt 656 1344)
)
(connector
(pt 656 1344)
(pt 632 1344)
)
(connector
(pt 208 1344)
(pt 584 1344)
)
(connector
(pt 208 1376)
(pt 1144 1376)
)
(connector
(pt 744 1168)
(pt 1144 1168)
)
2994,10 → 3111,6
(pt 208 1176)
)
(connector
(pt 680 1112)
(pt 208 1112)
)
(connector
(pt 680 1128)
(pt 640 1128)
)
3006,10 → 3119,6
(pt 640 1160)
)
(connector
(pt 208 1144)
(pt 640 1144)
)
(connector
(pt 640 1128)
(pt 640 1144)
)
3229,10 → 3338,6
(pt 368 536)
)
(connector
(pt 208 480)
(pt 336 480)
)
(connector
(pt 712 896)
(pt 712 880)
)
3263,6 → 3368,139
(pt 744 824)
(pt 928 824)
)
(connector
(pt 744 1448)
(pt 1144 1448)
)
(connector
(pt 680 1440)
(pt 208 1440)
)
(connector
(pt 208 1512)
(pt 1144 1512)
)
(connector
(text "ctl_reg_sys_hilo[0]" (rect 421 1192 514 1204)(font "Arial" ))
(pt 1144 1208)
(pt 400 1208)
)
(connector
(pt 208 1208)
(pt 400 1208)
(bus)
)
(connector
(pt 400 1208)
(pt 400 1240)
(bus)
)
(connector
(pt 1144 1280)
(pt 400 1280)
)
(connector
(pt 400 1280)
(pt 400 1312)
)
(connector
(pt 680 1344)
(pt 600 1344)
)
(connector
(pt 640 1240)
(pt 640 1336)
)
(connector
(pt 680 1336)
(pt 640 1336)
)
(connector
(text "ctl_reg_sys_hilo[1]" (rect 422 1224 515 1236)(font "Arial" ))
(pt 400 1240)
(pt 640 1240)
)
(connector
(pt 640 1240)
(pt 1144 1240)
)
(connector
(pt 640 1408)
(pt 640 1352)
)
(connector
(pt 680 1352)
(pt 640 1352)
)
(connector
(pt 208 1408)
(pt 640 1408)
)
(connector
(pt 640 1408)
(pt 1144 1408)
)
(connector
(pt 208 1480)
(pt 552 1480)
)
(connector
(pt 680 1456)
(pt 640 1456)
)
(connector
(pt 640 1456)
(pt 640 1480)
)
(connector
(pt 600 1480)
(pt 640 1480)
)
(connector
(pt 744 1344)
(pt 760 1344)
)
(connector
(pt 208 1312)
(pt 400 1312)
)
(connector
(pt 400 1312)
(pt 824 1312)
)
(connector
(pt 808 1344)
(pt 840 1344)
)
(connector
(pt 824 1312)
(pt 824 1328)
)
(connector
(pt 824 1328)
(pt 840 1328)
)
(connector
(pt 904 1336)
(pt 1144 1336)
)
(connector
(pt 208 1112)
(pt 680 1112)
)
(connector
(pt 208 480)
(pt 336 480)
)
(connector
(pt 208 1144)
(pt 640 1144)
)
(connector
(text "reg_sys_we_lo" (rect 407 1328 480 1340)(font "Arial" ))
(pt 400 1344)
(pt 552 1344)
)
(junction (pt 600 624))
(junction (pt 264 312))
(junction (pt 264 632))
3305,7 → 3543,6
(junction (pt 560 392))
(junction (pt 560 160))
(junction (pt 1008 96))
(junction (pt 1008 1208))
(junction (pt 640 1144))
(junction (pt 760 400))
(junction (pt 872 400))
3314,6 → 3551,10
(junction (pt 760 512))
(junction (pt 840 512))
(junction (pt 536 496))
(junction (pt 400 1208))
(junction (pt 400 1312))
(junction (pt 640 1240))
(junction (pt 640 1408))
(text "BC" (rect 440 120 456 134)(font "Arial" (font_size 8)))
(text "2'b00" (rect 328 120 358 134)(font "Arial" (font_size 8)))
(text "2'b01" (rect 328 280 358 294)(font "Arial" (font_size 8)))
3331,15 → 3572,16
(text "General Purpose registers control" (rect 592 32 820 48)(font "Arial" (font_size 10)))
(text "Set 0" (rect 752 264 781 278)(font "Arial" (font_size 8)))
(text "Set 1" (rect 752 248 781 262)(font "Arial" (font_size 8)))
(text "Block PC (use for override to WZ, HL for jumps)" (rect 232 1328 503 1342)(font "Arial" (font_size 8)))
(text "Block PC (use for override to WZ, HL for jumps)" (rect 232 1464 503 1478)(font "Arial" (font_size 8)))
(text "Fix bus contention when reading I reg and bypassing int # (used for interrupt handling)" (rect 680 1288 1172 1302)(font "Arial" (font_size 8)))
(title_block
(rect 32 1416 353 1477)
(rect 32 1552 353 1613)
(name "title-custom-medium")
(section (rect 0 0 320 20)(text "PROJECT" (rect 2 0 52 12)(font "Arial" ))(text "A-Z80" (rect 56 2 106 21)(font "Arial" (font_size 12)(bold)))(border))
(section (rect 130 0 320 20)(text "MODULE" (rect 2 1 48 13)(font "Arial" ))(text "reg_control" (rect 43 2 146 21)(font "Arial" (font_size 12)(bold)))(border))
(section (rect 0 21 320 40)(text "DESIGNER" (rect 2 0 59 12)(font "Arial" ))(text "Goran Devic" (rect 56 2 151 19)(font "Arial" (font_size 11)))(border))
(section (rect 0 41 240 60)(text "DATE" (rect 2 0 30 12)(font "Arial" ))(text "May 17, 2014" (rect 56 3 149 19)(font "Arial" (font_size 10)))(border))
(section (rect 241 41 320 60)(text "REV" (rect 2 1 25 13)(font "Arial" ))(text "1.2" (rect 43 3 64 19)(font "Arial" (font_size 10)))(border))
(section (rect 0 41 240 60)(text "DATE" (rect 2 0 30 12)(font "Arial" ))(text "May 17, 2014, 2016" (rect 56 3 191 19)(font "Arial" (font_size 10)))(border))
(section (rect 241 41 320 60)(text "REV" (rect 2 1 25 13)(font "Arial" ))(text "1.3" (rect 43 3 64 19)(font "Arial" (font_size 10)))(border))
(drawing
)
)
/test_registers.sv
181,6 → 181,7
.ctl_reg_sys_we_hi(ctl_reg_sys_we_hi_sig),// input ctl_reg_sys_we_hi_sig
.ctl_reg_sys_we(ctl_reg_sys_we_sig) , // input ctl_reg_sys_we_sig
.clk(clk) , // input clk
.ctl_sw_4d (ctl_sw_4d_sig) , // input ctl_sw_4d
.reg_sel_bc(reg_sel_bc_sig) , // output reg_sel_bc_sig
.reg_sel_bc2(reg_sel_bc2_sig) , // output reg_sel_bc2_sig
.reg_sel_ix(reg_sel_ix_sig) , // output reg_sel_ix_sig
201,7 → 202,9
.reg_sel_sys_hi(reg_sel_sys_hi_sig) , // output reg_sel_sys_hi_sig
.reg_gp_we(reg_gp_we_sig) , // output reg_gp_we_sig
.reg_sys_we_lo(reg_sys_we_lo_sig) , // output reg_sys_we_lo_sig
.reg_sys_we_hi(reg_sys_we_hi_sig) // output reg_sys_we_hi_sig
.reg_sys_we_hi(reg_sys_we_hi_sig) , // output reg_sys_we_hi_sig
.reg_sw_4d_lo (reg_sw_4d_lo_sig) , // output reg_sw_4d_lo_sig
.reg_sw_4d_hi (reg_sw_4d_hi_sig) // output reg_sw_4d_hi_sig
);
 
// Instantiate register file block
213,7 → 216,8
.reg_sel_gp_hi(reg_sel_gp_hi_sig) , // input reg_sel_gp_hi_sig
.reg_sel_ir(reg_sel_ir_sig) , // input reg_sel_ir_sig
.reg_sel_pc(reg_sel_pc_sig) , // input reg_sel_pc_sig
.ctl_sw_4d(ctl_sw_4d_sig) , // input ctl_sw_4d_sig
.reg_sw_4d_lo(reg_sw_4d_lo_sig) , // input reg_sw_4d_lo_sig
.reg_sw_4d_hi(reg_sw_4d_hi_sig) , // input reg_sw_4d_hi_sig
.ctl_sw_4u(ctl_sw_4u_sig) , // input ctl_sw_4u_sig
.reg_sel_wz(reg_sel_wz_sig) , // input reg_sel_wz_sig
.reg_sel_sp(reg_sel_sp_sig) , // input reg_sel_sp_sig

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.