URL
https://opencores.org/ocsvn/raytrac/raytrac/trunk
Subversion Repositories raytrac
Compare Revisions
- This comparison shows the changes necessary to convert path
/raytrac
- from Rev 239 to Rev 238
- ↔ Reverse comparison
Rev 239 → Rev 238
/branches/fp_sgdma/arith/wide/arithblock.vhd
98,7 → 98,6
end component; |
component fmul32 |
port ( |
clk : std_logic; |
factor0 : in std_logic_vector(31 downto 0); |
factor1 : in std_logic_vector(31 downto 0); |
factor2 : in std_logic_vector(31 downto 0); |
116,7 → 115,7
p2: out std_logic_vector(31 downto 0); |
p3: out std_logic_vector(31 downto 0); |
p4: out std_logic_vector(31 downto 0); |
p5: out std_logic_vector(31 downto 0) |
p5: out std_logic_vector(31 downto 0); |
|
); |
end component; |
/branches/fp_sgdma/arith/wide/fmul32.vhd
50,7 → 50,7
p2: out std_logic_vector(31 downto 0); |
p3: out std_logic_vector(31 downto 0); |
p4: out std_logic_vector(31 downto 0); |
p5: out std_logic_vector(31 downto 0) |
p5: out std_logic_vector(31 downto 0); |
|
|
); |