OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 62 to Rev 63
    Reverse comparison

Rev 62 → Rev 63

/t6507lp/trunk/rtl/verilog/t6507lp_fsm.v
61,7 → 61,7
output reg [7:0] alu_a;
 
// FSM states
localparam FETCH_OP = 4'b000;
localparam FETCH_OP = 4'b0000;
localparam FETCH_LOW = 4'b0001;
localparam FETCH_HIGH = 4'b0010;
localparam SET_PC = 4'b0011;
68,9 → 68,9
localparam READ_EFFECTIVE = 4'b0100;
localparam DO_OPERATION = 4'b0101;
localparam WRITE_DUMMY = 4'b0110;
localparam WRITE_EFFECTIVE = 4'b0110;
localparam CALCULATE_INDEX = 4'b0111;
localparam CHECK_FOR_PAGE_CROSS = 4'b1000;
localparam WRITE_EFFECTIVE = 4'b0111;
localparam CALCULATE_INDEX = 4'b1000;
localparam CHECK_FOR_PAGE_CROSS = 4'b1001;
 
// OPCODES TODO: verify how this get synthesised
`include "../T6507LP_Package.v"
108,7 → 108,7
reg enable;
 
wire [12:0] next_pc;
assign next_pc = pc + 1'b1;
assign next_pc = pc + 13'b0000000000001;
 
always @ (posedge clk_in or negedge n_rst_in) begin
if (n_rst_in == 1'b0) begin
428,7 → 428,7
 
 
end
end
end // no way
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.