URL
https://opencores.org/ocsvn/raytrac/raytrac/trunk
[/] [raytrac/] [branches/] [fp/] [customCounter.vhd] - Diff between revs 142 and 143
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 142 |
Rev 143 |
Line 26... |
Line 26... |
use ieee.std_logic_unsigned.all;
|
use ieee.std_logic_unsigned.all;
|
|
|
|
|
entity customCounter is
|
entity customCounter is
|
generic (
|
generic (
|
width : integer := 9;
|
width : integer := 9
|
)
|
)
|
port (
|
port (
|
clk,rst,go,set : in std_logic;
|
clk,rst,go,set : in std_logic;
|
setValue : in std_logic_vector(width - 1 downto 0);
|
setValue : in std_logic_vector(width - 1 downto 0);
|
count : out std_logic_vector (width - 1 downto 0)
|
count : out std_logic_vector (width - 1 downto 0)
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.