URL
https://opencores.org/ocsvn/raytrac/raytrac/trunk
[/] [raytrac/] [branches/] [fp/] [fadd32.vhd] - Diff between revs 157 and 158
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 157 |
Rev 158 |
Line 32... |
Line 32... |
--!\nLas 2 mantissas y el exponente entran despues a la entidad add2 que suma las mantissas y entrega el resultado en formato IEEE 754.
|
--!\nLas 2 mantissas y el exponente entran despues a la entidad add2 que suma las mantissas y entrega el resultado en formato IEEE 754.
|
entity fadd32 is
|
entity fadd32 is
|
|
|
port (
|
port (
|
clk,dpc : in std_logic;
|
clk,dpc : in std_logic;
|
a32,b32 : in std_logic_vector (31 downto 0);
|
a32,b32 : in xfloat32;
|
c32 : out std_logic_vector(31 downto 0)
|
c32 : out xfloat32
|
);
|
);
|
end entity;
|
end entity;
|
architecture fadd32_arch of fadd32 is
|
architecture fadd32_arch of fadd32 is
|
|
|
|
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.