URL
https://opencores.org/ocsvn/raytrac/raytrac/trunk
[/] [raytrac/] [branches/] [fp/] [memblock.vhd] - Diff between revs 152 and 153
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 152 |
Rev 153 |
Line 54... |
Line 54... |
dpfifo_d : in std_logic_vector(floatwidth*2-1 downto 0);
|
dpfifo_d : in std_logic_vector(floatwidth*2-1 downto 0);
|
normfifo_d : in std_logic_vector(floatwidth*3-1 downto 0);
|
normfifo_d : in std_logic_vector(floatwidth*3-1 downto 0);
|
dpfifo_q : out std_logic_vector(floatwidth*2-1 downto 0);
|
dpfifo_q : out std_logic_vector(floatwidth*2-1 downto 0);
|
normfifo_q : out std_logic_vector(floatwidth*3-1 downto 0)
|
normfifo_q : out std_logic_vector(floatwidth*3-1 downto 0)
|
);
|
);
|
end memblock;
|
end entity;
|
|
|
architecture memblock_arch of memblock is
|
architecture memblock_arch of memblock is
|
|
|
|
|
|
|
Line 330... |
Line 330... |
when x"5" => s0ext_rd_ack <= "00"&s0ext_rd&'0'&x"0";
|
when x"5" => s0ext_rd_ack <= "00"&s0ext_rd&'0'&x"0";
|
when x"6" => s0ext_rd_ack <= "0"&s0ext_rd&"00"&x"0";
|
when x"6" => s0ext_rd_ack <= "0"&s0ext_rd&"00"&x"0";
|
when others => s0ext_rd_ack <= s0ext_rd&"000"&x"0";
|
when others => s0ext_rd_ack <= s0ext_rd&"000"&x"0";
|
end case;
|
end case;
|
end process;
|
end process;
|
end memblock_arch;
|
end architecture;
|
|
|
|
|
No newline at end of file
|
No newline at end of file
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.