URL
https://opencores.org/ocsvn/raytrac/raytrac/trunk
[/] [raytrac/] [branches/] [fp/] [raytrac.vhd] - Diff between revs 160 and 161
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 160 |
Rev 161 |
Line 65... |
Line 65... |
signal s_iq_rd_ack : std_logic;
|
signal s_iq_rd_ack : std_logic;
|
--! Señales de State Machine -> DataPathControl
|
--! Señales de State Machine -> DataPathControl
|
signal s_sync_chain_0 : std_logic;
|
signal s_sync_chain_0 : std_logic;
|
signal s_dpc_uca : std_logic_vector(2 downto 0);
|
signal s_dpc_uca : std_logic_vector(2 downto 0);
|
signal s_eoi : std_logic;
|
signal s_eoi : std_logic;
|
|
--!TBXEND
|
--! Señales de State Machine -> Testbench
|
--! Señales de State Machine -> Testbench
|
signal s_smState : macState;
|
signal s_smState : macState;
|
--!TBXEND
|
|
|
|
|
|
|
|
|
|
|
|
--!TBXSTART:MBLK
|
--!TBXSTART:MBLK
|
--! Señales de Memblock -> State Machine
|
--! Señales de Memblock -> State Machine
|
signal s_iq_empty : std_logic;
|
signal s_iq_empty : std_logic;
|
signal s_iq : std_logic_vector (31 downto 0);
|
signal s_iq : std_logic_vector (31 downto 0);
|
--! Señales de Memblock -> Interruption Machine
|
--! Señales de Memblock -> Interruption Machine
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.